实验三 FPGA使用Verilog HDL设计加法器

实验目的

  1. 掌握使用Vivado软件进行设计、综合、仿真、布线的方法。
  2. 掌握FPGA程序的下载方法。
  3. 掌握使用Verilog HDL设计加法器的方法。

实验要求

      采用Verilog HDL语言设计加法器,实现两个4位数的相加运算,并将结果通过LED灯或数码管显示出来。对设计进行综合、仿真、布线、下载和测试。

实验过程

  1. 打开Vivado软件,新建一个工程。
  2. 新建设计文件,使用Verilog HDL语言设计程序,实现加法器。

代码如下:

so easy 的一个代码

module adder(
    input wire[3:0] a,
    input wire[3:0] b,
    output reg[4:0]ans
    );
    always@(*)begin
    ans=a+b;
    end
endmodule

仿真代码:

`timescale 1ns / 1ps

module adder_tb;
    reg [3:0] a;
    reg [3:0] b;
    wire [4:0]ans;
    initial 
    begin
        a=1;
        b=2;
        #10 a=5;
        #20 b=6;
        #50 a=7;
    end
    adder addt(
        .a(a),
        .b(b),
        .ans(ans)
    );
endmodule

 实验结果

 

 

通过八位拨动开关实现a,b的承载,结果用led显示,注意的是led灯是低电平有效,当时没搞清楚导致找不到原因 

引脚绑定看我的实验一

总结

无 

相关推荐

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-23 06:54:04       52 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-23 06:54:04       54 阅读
  3. 在Django里面运行非项目文件

    2024-07-23 06:54:04       45 阅读
  4. Python语言-面向对象

    2024-07-23 06:54:04       55 阅读

热门阅读

  1. 如何看待云原生下的多活容灾

    2024-07-23 06:54:04       14 阅读
  2. PyTorch 中的一个函数:torch.pow

    2024-07-23 06:54:04       13 阅读
  3. 图像处理 -- ISP调优(tuning)的步骤整理

    2024-07-23 06:54:04       14 阅读
  4. 时间和空间复杂度

    2024-07-23 06:54:04       17 阅读
  5. vivado IOBDELAY

    2024-07-23 06:54:04       16 阅读
  6. React/Vue项目解决跨域的方法

    2024-07-23 06:54:04       17 阅读
  7. 第五节shell脚本中的运行流程控制(3.2)

    2024-07-23 06:54:04       15 阅读
  8. 后端存储流程结构的思考

    2024-07-23 06:54:04       12 阅读
  9. 【DevOps系列】构建Devops系统

    2024-07-23 06:54:04       16 阅读