[Verilog] 加法器实现

在这里插入图片描述

1. 4位的加法器

先来一个最基本的的Verilog加法器

设计代码

module adder_4bit (input [3:0] a, b, output [3:0] sum, output carry);
    assign 

相关推荐

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-01-01 08:32:02       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-01-01 08:32:02       100 阅读
  3. 在Django里面运行非项目文件

    2024-01-01 08:32:02       82 阅读
  4. Python语言-面向对象

    2024-01-01 08:32:02       91 阅读

热门阅读

  1. LeetCode75| 单调栈

    2024-01-01 08:32:02       68 阅读
  2. 一篇文章认识微服务的优缺点和微服务技术栈

    2024-01-01 08:32:02       55 阅读
  3. 九台虚拟机网站流量分析项目启动步骤

    2024-01-01 08:32:02       65 阅读
  4. mac安装yum

    2024-01-01 08:32:02       53 阅读
  5. 使用Python实现简单的区块链

    2024-01-01 08:32:02       58 阅读