视觉图像处理和FPGA实现第三次作业--实现一个加法器模块

一、adder模块

module adder(ina, inb, outa);
input   [5:0] ina   ;
input   [5:0] inb   ;
output  [6:0] outa  ;

assign outa = ina+ inb;
endmodule

二、add模块

module add(a,b,c,d,e);
input   [5:0]      a            ;
input   [5:0]      b            ;
input   [5:0]      c            ;
input   [5:0]      d            ;
output  [7:0]      e            ;
wire    [6:0]      outa1,outa2  ;

assign e = outa1 + outa2;

adder adder1
(
    .ina    ( a )       ,
    .inb    ( b )       ,
    .outa   ( outa1 )
);
adder adder2
(
    .ina    ( c )       ,
    .inb    ( d )       ,
    .outa   ( outa2 )
);

endmodule

三、testbench

`timescale 1ns / 1ps
module add_tb();
reg     [5:0]   a   ;
reg     [5:0]   b   ;
reg     [5:0]   c   ;
reg     [5:0]   d   ;
wire    [7:0]   e   ;
reg     [5:0]   i   ;

add u_add(
    .a ( a ),
    .b ( b ),
    .c ( c ),
    .d ( d ),
    .e ( e )
);

initial begin
    a=0; b=0; c=0; d=0;
    for(i=1; i<31; i= i+1)begin
    #10;
    a=i; b=i; c=i; d=i;
    end
end

initial begin
    $monitor($time,,,"%d+ %d+ %d+ %d= {%d}", a, b, c, d, e);
    #500 
    $finish;
end

endmodule

四、RTL图

五、仿真

最近更新

  1. TCP协议是安全的吗?

    2024-03-17 19:28:03       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-03-17 19:28:03       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-03-17 19:28:03       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-03-17 19:28:03       18 阅读

热门阅读

  1. (容斥原理例题)洛谷P1287 盒子与球

    2024-03-17 19:28:03       18 阅读
  2. LeetCode350:两个数组的交集Ⅱ

    2024-03-17 19:28:03       19 阅读
  3. 配置服务器自启动极简方式 /etc/rc.d/rc.local

    2024-03-17 19:28:03       21 阅读
  4. Kettle安装使用手册

    2024-03-17 19:28:03       15 阅读
  5. Linux 常用命令总结

    2024-03-17 19:28:03       22 阅读
  6. 如何查看设备树——设备树格式解析

    2024-03-17 19:28:03       22 阅读
  7. select定时器功能,c语言实现

    2024-03-17 19:28:03       23 阅读
  8. 算法-KMP匹配

    2024-03-17 19:28:03       18 阅读
  9. 【亲测可行】Mac上clion boost库的安装与使用

    2024-03-17 19:28:03       18 阅读