「Verilog学习笔记」可置位计数器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input set,
	input [3:0] set_num,
	output reg [3:0]number,
	output reg zero
	);
	
	reg [3:0] cnt ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) cnt <= 0 ; 
		else cnt <= set ? set_num : cnt + 1 ; 
	end

	always @ (*) begin 
		if (~rst_n) zero <= 0 ; 
		else zero <= number == 0 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) number <= 0 ; 
		else number <= cnt ;
	end

endmodule

相关推荐

最近更新

  1. TCP协议是安全的吗?

    2023-12-14 07:18:01       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2023-12-14 07:18:01       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2023-12-14 07:18:01       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2023-12-14 07:18:01       18 阅读

热门阅读

  1. 【Python爬虫】Python爬虫入门教程&注意事项

    2023-12-14 07:18:01       41 阅读
  2. Ceph入门到精通-ceph二次开发开源协议考虑

    2023-12-14 07:18:01       36 阅读
  3. Android 12.0 默认相机视频画质1080p

    2023-12-14 07:18:01       35 阅读
  4. Mysql - 引擎介绍

    2023-12-14 07:18:01       32 阅读
  5. html.parser --- 简单的 HTML 和 XHTML 解析器

    2023-12-14 07:18:01       39 阅读
  6. C#面试题

    2023-12-14 07:18:01       36 阅读