「Verilog学习笔记」加减计数器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,
	input mode,
	output reg [3:0]number,
	output reg zero
	);

	reg [3:0] num ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) num <= 0 ; 
		else 
			if (mode) num <= num == 9 ? 0 : num + 1 ; 
			else num <= num == 0 ? 9 : num - 1 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) number <= 0 ; 
		else number <= num ; // 题目有误 根据答案波形图 将输出打一拍后输出
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) zero <= 0 ; 
		else zero <= num == 0 ; // 同理 当num == 0时 下一拍number才会等于0 根据非阻塞赋值的特性 将会在下一拍输出zero = 1
	end 

endmodule

相关推荐

最近更新

  1. TCP协议是安全的吗?

    2023-12-15 05:42:05       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2023-12-15 05:42:05       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2023-12-15 05:42:05       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2023-12-15 05:42:05       18 阅读

热门阅读

  1. ES常见查询总结

    2023-12-15 05:42:05       33 阅读
  2. 如何使用EXCEL制作一份高效、美观的成绩单

    2023-12-15 05:42:05       39 阅读
  3. MySQL和Minio数据备份

    2023-12-15 05:42:05       39 阅读
  4. REST与RPC = 面向对象和函数编程

    2023-12-15 05:42:05       38 阅读
  5. Vue3 逻辑复用 - 组合式函数

    2023-12-15 05:42:05       38 阅读
  6. linux 环境下替换jar包中class文件

    2023-12-15 05:42:05       119 阅读
  7. uni-app地图标点展示

    2023-12-15 05:42:05       35 阅读