Circuits--Sequential--Finite5

1. Design a moore FSM

module top_module (
    input clk,
    input reset,
    input [3:1] s,
    output fr3,
    output fr2,
    output fr1,
    output dfr
); 
    
    parameter A2=3'd0;
    parameter B1=3'd1;
    parameter B2=3'd2;
    parameter C1=3'd3;
    parameter C2=3'd4;
    parameter D1=3'd5;
    
    reg[2:0] state;
    reg[2:0] next_state;
    
    always @(*)begin
        case(state)
            A2:next_state = s[1]?B1:A2;
            B1:next_state = s[2]?C1:(s[1]?B1:A2);
            B2:next_state = s[2]?C1:(s[1]?B2:A2);
            C1:next_state = s[3]?D1:(s[2]?C1:B2);
            C2:next_state = s[3]?D1:(s[2]?C2:B2);
            D1:next_state = s[3]?D1:C2;
            default:next_state = 'x;
        endcase
    end
    
    always @(posedge clk)begin
        if(reset)begin
        	state <= A2;
        end
        else begin
        	state <= next_state;
        end
    end
    
    always @(*)begin
        case(state)
            A2:{fr3,fr2,fr1,dfr} = 4'b1111;
            B1:{fr3,fr2,fr1,dfr} = 4'b0110;
            B2:{fr3,fr2,fr1,dfr} = 4'b0111;
            C1:{fr3,fr2,fr1,dfr} = 4'b0010;
            C2:{fr3,fr2,fr1,dfr} = 4'b0011;
            D1:{fr3,fr2,fr1,dfr} = 4'b0000;
            default:{fr3,fr2,fr1,dfr} = 'x;
        endcase
    end

endmodule

2. Lemmings1

module top_module(
    input clk,
    input areset,    // Freshly brainwashed Lemmings walk left.
    input bump_left,
    input bump_right,
    output walk_left,
    output walk_right); //  

    // parameter LEFT=0, RIGHT=1, ...
    reg state, next_state;
    parameter left = 1'b0;
    parameter right = 1'b1;
    

    always @(*) begin
        case(state)
            left:
                begin
                    if(!bump_left)  next_state = left;
                    else next_state = right;
                end
            right:
                begin
                    if(!bump_right) next_state = right;
                    else next_state = left;
                end
        endcase
    end

    always @(posedge clk, posedge areset) begin
        // State flip-flops with asynchronous reset
        if(areset)
            state <= left;
        else
            state <= next_state;
    end

    // Output logic
    assign walk_left = (state == left);
    assign walk_right = (state == right);

endmodule

相关推荐

  1. Circuits--Sequential--Finite5

    2024-05-02 18:24:04       31 阅读
  2. CIrcuits--Sequential--Finite_1

    2024-05-02 18:24:04       28 阅读
  3. Circuits--Sequential--Finite_2

    2024-05-02 18:24:04       30 阅读
  4. Circuits--Sequential--Finite4

    2024-05-02 18:24:04       31 阅读
  5. Circuits--Sequential--Finite6

    2024-05-02 18:24:04       32 阅读
  6. Circuits--Sequential--Finite7

    2024-05-02 18:24:04       35 阅读
  7. Circuits--Sequential--Finite--one hot

    2024-05-02 18:24:04       33 阅读
  8. Circuits--Sequential--More circuits

    2024-05-02 18:24:04       41 阅读
  9. Circuits--Sequential--Registers_1

    2024-05-02 18:24:04       31 阅读
  10. Circuits--Sequential--Registers_2

    2024-05-02 18:24:04       34 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-05-02 18:24:04       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-05-02 18:24:04       101 阅读
  3. 在Django里面运行非项目文件

    2024-05-02 18:24:04       82 阅读
  4. Python语言-面向对象

    2024-05-02 18:24:04       91 阅读

热门阅读

  1. BKPUNIX

    2024-05-02 18:24:04       28 阅读
  2. 单片机与Python串口通讯

    2024-05-02 18:24:04       30 阅读
  3. Python Json数据解析

    2024-05-02 18:24:04       31 阅读
  4. 单机多GPU的训练及debug中vscode下launch.json内容设置

    2024-05-02 18:24:04       31 阅读
  5. 【Golang】使用 GORM 的 Scopes 进行查询

    2024-05-02 18:24:04       31 阅读
  6. 设计模式之单例模式

    2024-05-02 18:24:04       29 阅读
  7. IntelliJ IDEA 常用快捷键

    2024-05-02 18:24:04       34 阅读
  8. C语言-单链表和双链表

    2024-05-02 18:24:04       27 阅读