基于74LS191+74LS160的8位二进制转BCD码应用电路设计

一、74LS191简介:

        74LS191为可预置的四位二进制加/减法计数器,RCO进位/借位输出端,MAX/MIN进位/借位输出端,CTEN计数控制端,QA-QD计数输出端,U/D计数控制端,CLK时钟输入端,LOAD异步并行置入端(低电平有效)。

二、74LS191功能表:

三、74LS191逻辑框图:

四、74LS160简介:

       74LS160是常用的数字逻辑芯片,为十进制计数器,具有计数、置数、禁止清零等功能,其内部是由D触发器和逻辑门电路构成的。芯片具有两个使能端ENP和ENT,高电平有效,具有一个

最近更新

  1. TCP协议是安全的吗?

    2024-01-19 22:04:03       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-01-19 22:04:03       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-01-19 22:04:03       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-01-19 22:04:03       18 阅读

热门阅读

  1. MySQL 8.0中已过时的选项和变量

    2024-01-19 22:04:03       21 阅读
  2. 鸿蒙使用第三方SO库

    2024-01-19 22:04:03       41 阅读
  3. C++中的引用及指针变量

    2024-01-19 22:04:03       30 阅读
  4. 使用延迟队列处理超时订单

    2024-01-19 22:04:03       35 阅读
  5. 细胞基因完整矩阵转10xGenomics稀疏矩阵文件

    2024-01-19 22:04:03       30 阅读