「Verilog学习笔记」编写乘法器求解算法表达式

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module calculation(
	input clk,
	input rst_n,
	input [3:0] a,
	input [3:0] b,
	output [8:0] c
	);

	reg [8:0] data1, data2 ; 

	assign c = data2 ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) data1 <= 0 ; 
		else data1 <= (a << 3) + (a << 2) + (b << 2) + (b << 0) ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (!rst_n) begin
			data1 <= 0 ; 
			data2 <= 0 ; 
		end
		else data2 <= data1 ; 
	end

endmodule

相关推荐

最近更新

  1. TCP协议是安全的吗?

    2024-01-06 06:02:06       18 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-01-06 06:02:06       19 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-01-06 06:02:06       18 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-01-06 06:02:06       20 阅读

热门阅读

  1. 浏览器刷新页面,缓存的处理方式,强制刷新

    2024-01-06 06:02:06       39 阅读
  2. SpringBoot打造高效多级缓存体系

    2024-01-06 06:02:06       28 阅读
  3. jar to dmg app/windows .exe可执行文件打包方法

    2024-01-06 06:02:06       43 阅读
  4. Grafana相关问题及答案(2024)

    2024-01-06 06:02:06       47 阅读
  5. Vue 3.4 发布

    2024-01-06 06:02:06       35 阅读
  6. git 常用命令 查看文件内容

    2024-01-06 06:02:06       36 阅读
  7. Python技巧

    2024-01-06 06:02:06       37 阅读
  8. apisix 官方example,单机docker的etcd备份和恢复

    2024-01-06 06:02:06       39 阅读
  9. 机器视觉系统选型-线阵工业相机选型

    2024-01-06 06:02:06       34 阅读
  10. 【负载均衡oj】(七)ojserver

    2024-01-06 06:02:06       35 阅读