「Verilog学习笔记」流水线乘法器

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module multi_pipe#(
	parameter size = 4
)(
	input 						clk 		,   
	input 						rst_n		,
	input	[size-1:0]			mul_a		,
	input	[size-1:0]			mul_b		,
 
 	output	reg	[size*2-1:0]	mul_out		
);
	reg [7:0] sum01, sum23 ;
	wire [7:0] t0, t1, t2, t3 ; 

	assign t0 = mul_b[0] ? {4'b0, mul_a} : 0 ; 
	assign t1 = mul_b[1] ? {3'b0, mul_a, 1'b0} : 0 ; 
	assign t2 = mul_b[2] ? {2'b0, mul_a, 2'b0} : 0 ; 
	assign t3 = mul_b[3] ? {1'b0, mul_a, 3'b0} : 0 ; 

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) begin 
			sum01 <= 0 ; 
			sum23 <= 0 ; 
			mul_out <= 0 ;
		end
		else begin 
			sum01 <= t0 + t1 ; 
			sum23 <= t2 + t3 ; 
			mul_out <= sum01 + sum23 ;
		end
	end

endmodule

相关推荐

最近更新

  1. TCP协议是安全的吗?

    2023-12-17 18:06:02       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2023-12-17 18:06:02       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2023-12-17 18:06:02       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2023-12-17 18:06:02       18 阅读

热门阅读

  1. Python学习笔记第七十三天(OpenCV简介)

    2023-12-17 18:06:02       42 阅读
  2. 【Qt5】QDialog的pos函数

    2023-12-17 18:06:02       35 阅读
  3. MHA实验和架构

    2023-12-17 18:06:02       29 阅读
  4. 代码随想录算法训练营

    2023-12-17 18:06:02       39 阅读
  5. JDK8接口新增的方法

    2023-12-17 18:06:02       37 阅读
  6. 力扣97. 交错字符串

    2023-12-17 18:06:02       35 阅读
  7. 常见编码方式

    2023-12-17 18:06:02       40 阅读
  8. 《微信小程序开发从入门到实战》学习五十

    2023-12-17 18:06:02       37 阅读