「Verilog学习笔记」简易秒表

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module count_module(
	input clk,
	input rst_n,

    output reg [5:0]second,
    output reg [5:0]minute
	);
	
	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) second <= 0 ; 
		else 
			if (minute >= 60) second <= 0 ;
			else second <= (second == 60) ? 1 : second + 1 ; 
	end

	always @ (posedge clk or negedge rst_n) begin 
		if (~rst_n) minute <= 0 ; 
		else begin 
			if (minute >= 60) minute <= 0 ; 
			else if (second == 60) minute <= minute + 1 ; 
			else minute <= minute ; 
		end
	end
	
endmodule

相关推荐

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2023-12-16 10:12:02       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2023-12-16 10:12:02       101 阅读
  3. 在Django里面运行非项目文件

    2023-12-16 10:12:02       82 阅读
  4. Python语言-面向对象

    2023-12-16 10:12:02       91 阅读

热门阅读

  1. 使用OpenCV和PIL库读取图片的区别

    2023-12-16 10:12:02       58 阅读
  2. php语言的基础用法有哪些

    2023-12-16 10:12:02       62 阅读
  3. ElasticSearch之cat segments API

    2023-12-16 10:12:02       62 阅读
  4. centos7编译grpc源码

    2023-12-16 10:12:02       65 阅读
  5. Vue2面试题:说一下路由模式hash和history的区别?

    2023-12-16 10:12:02       52 阅读
  6. FPGA——spi代码篇

    2023-12-16 10:12:02       50 阅读
  7. std::iota 函数简单使用

    2023-12-16 10:12:02       59 阅读
  8. Cookie、Session、Token的区别与联系

    2023-12-16 10:12:02       64 阅读
  9. 本地计算机连接两个Github账号

    2023-12-16 10:12:02       57 阅读
  10. loki swift_storage_config

    2023-12-16 10:12:02       57 阅读