Xilinx Vivado中的Axi Data Mover IP核的使用方法

Axi Data Mover IP核的使用

作用

负责将AXI Full协议和AXI Stream协议进行转换

在这里插入图片描述

可以看到在这个IP核的接口可以分为以下两组

  • S2MM
  • MM2S

其中S2MM是将AXI Stream协议的信号转换为AXI Full协议的信号

MM2S 是将AXI Full协议的信号转换为AXI Stream协议的信号。

如下图所示:

在这里插入图片描述
在这里插入图片描述

IP 配置

IP核的配置页面如下所示:

在这里插入图片描述

其中Memory Map Data Width是配置的AXI Full协议的数据位宽

Stream Data Width配置的是AXI Stream协议的数据位宽

Burst Size配置的是突发长度

BTT配置的是传输字节数量的位宽

命令端口

在这里插入图片描述

一般来说配置BTT,TYPE和SADDR三个字段就可以了

其中BTT字段为传输的字节数量

TYPE字段为Burst类型是Fixed类型还是Incr类型。其中1代表Incr,0代表Fixed类型。

SADRR字段为要写入的地址

注意xUser和xCache这两个字段如果在配置IP核的时候没有使能的话,cmd的数据位宽是没有这两个字段的位置的。

使用方法可以按照如下形式进行拼接

在这里插入图片描述

示例工程

在这里插入图片描述

通过如下状态机完成读写测试

在这里插入图片描述

波形图如下所示:
在这里插入图片描述

该IP的视频讲解地址为:https://www.bilibili.com/video/BV1Cx4y1x7Nd/

相关推荐

  1. SQL WITH AS 使用方法

    2024-07-16 03:42:02       56 阅读
  2. jsconsole.log()使用方法

    2024-07-16 03:42:02       50 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-16 03:42:02       67 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-16 03:42:02       72 阅读
  3. 在Django里面运行非项目文件

    2024-07-16 03:42:02       58 阅读
  4. Python语言-面向对象

    2024-07-16 03:42:02       69 阅读

热门阅读

  1. 玩转springboot之SpringBoot使用jsp

    2024-07-16 03:42:02       20 阅读
  2. 神经网络调参技巧(入门案例教程)

    2024-07-16 03:42:02       20 阅读
  3. 双缓存机制

    2024-07-16 03:42:02       16 阅读
  4. CNN -1 神经网络-概述

    2024-07-16 03:42:02       19 阅读
  5. 输入两个整数,输出最大公约数与最小公倍数。

    2024-07-16 03:42:02       18 阅读