Modelsim中使用tcl命令导出仿真数据到txt文件

参考下面的CSDN博客

参考

Tcl命令

proc write_sim_data {env name radix cycle file} {
    set fid [open $file w]
    for {set i 0} {$i <= $::now} {incr i [expr $cycle * 1000]} {
        set str [exa -env $env -radix $radix -time ${i}ps {*}$name]
        puts $fid $str
    }
    close $fid 
}  


  • Line1:定义一个名为write_sim_data的过程,参数列表为:{env name radix cycle file}

    env:指定查找对象名称的路径。如top_tb/top_u
    name:需要导出的变量列表。如{I_reset_n R_data_cnt}
    radix:进制。可选:ascii,binary, decimal,hexadecimal,unsigned等
    cycle:时钟周期,单位ns
    file:输出文件。如./1.txt

  • Line2:打开一个文档,返回文件描述符

  • Line3:分别获得从0到仿真结尾时间,按时钟周期间隔对数据进行取样输出

  • Line4:获得具体数据

  • Line5:将数据写入文件

  • Line7:关闭文件

示例:

write_sim_data sim:/top_tb/u_top_wrapper/top_i/RS_Enc_0 {RS_Out Trigger} unsigned 1000 data.txt

相关推荐

  1. Modelsim使用tcl命令导出仿真数据txt文件

    2024-07-10 22:30:01       12 阅读
  2. mysql导入tab符号txt文件数据库

    2024-07-10 22:30:01       40 阅读
  3. MySQL命令--使用mysqldump导出导入数据库

    2024-07-10 22:30:01       26 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-10 22:30:01       5 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-10 22:30:01       5 阅读
  3. 在Django里面运行非项目文件

    2024-07-10 22:30:01       4 阅读
  4. Python语言-面向对象

    2024-07-10 22:30:01       7 阅读

热门阅读

  1. Spring中@Transactional的实现和原理

    2024-07-10 22:30:01       9 阅读
  2. H5小游戏开发,广告游戏开发制作

    2024-07-10 22:30:01       10 阅读
  3. 电脑多开卡顿的所有原因汇总

    2024-07-10 22:30:01       13 阅读
  4. 【C语言】通过fgets和fscanf了解读写文件流的概念

    2024-07-10 22:30:01       10 阅读
  5. mac上修改jupyterlab工作目录

    2024-07-10 22:30:01       10 阅读