FPGA数字信号处理之:小波变换算法的实现

一、定义

        小波变换(wavelet transform,WT)是一种新的变换分析方法,它继承和发展了短时傅立叶变换局部化的思想,同时又克服了窗口大小不随频率变化等缺点,能够提供一个随频率改变的“时间-频率”窗口,是进行信号时频分析和处理的理想工具。它的主要特点是通过变换能够充分突出问题某些方面的特征,能对时间(空间)频率的局部化分析,通过伸缩平移运算对信号(函数)逐步进行多尺度细化,最终达到高频处时间细分,低频处频率细分,能自动适应时频信号分析的要求,从而可聚焦到信号的任意细节,解决了Fourier变换的困难问题,成为继Fourier变换以来在科学方法上的重大突破。

二、原理 

        原理可参考如下两个博主文章

文章一:基于FPGA的小波变换算法的实现

文章二:离散小波变换的FPGA/Verilog实现

三、FPGA实现

        激励文件编写可参考《文章二》中关于“matlab产生激励”相关代码。rtl代码实现完全可以用《文章一》的代码,但是仿真会出错,原因是移位符号有问题,这是verilog编写算法逻辑的不足之处,本文采用system generator来实现《文章一》中的代码,构建模型如下:

四、实现效果

输入信号与经过小波变换之后的信号如下所示,经分析,使用fpga实现小波变换还是挺不错的。 

最近更新

  1. TCP协议是安全的吗?

    2024-06-07 15:46:03       18 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-06-07 15:46:03       19 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-06-07 15:46:03       19 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-06-07 15:46:03       20 阅读

热门阅读

  1. PDF格式分析(八十五)——水印注释(Watermark)

    2024-06-07 15:46:03       13 阅读
  2. python 第一天

    2024-06-07 15:46:03       10 阅读