verilog分析task的接口设计,证明这种写法:assign {a,b,c,d} = links;

verilog分析task的接口设计,证明这种写法:assign {a,b,c,d} = links;

参考文献:
1,练习七-在Verilog中使用任务task

1,task在状态机中的使用好处:

在这里插入图片描述

2,RTL设计

//
//	设计一个模块
module	link_ports(
input			clk,
input			rst_n,

input			p,
input			q,

output			a,
output			b,
output			c,
output			d

//output			out
);

reg		[3:0]	links;
reg		[1:0]	state;

//	判断是否 在rst_n后 的第一个clk,就实现输出结果:1111.
assign		{a,b,c,d}	= links;		//证明这种写法是对的!

always@(posedge clk or negedge rst_n)		begin
	if(!rst_n)		begin
		state		<= 2'b00;
		links		<= 4'b0000;
		end
	else		//	来一个clk, 进行 1次任务.
		add;	
end

task	add;
begin
	casex(state)
		2'b00:	
			if(p == 0 && q == 0)	begin
			links	<= 4'b0101;
			state	<= 2'b01;
			end
			
		2'b01:	
			if(p == 0 && q == 1)	begin
			links	<= 4'b0110;
			state	<= 2'b10;
			end
		
		2'b10:	begin
			links	<= 4'b1001;
			state	<= 2'b11;
			end

		2'b11:	
			if(p ==1 && q == 0)	begin
			links	<= 4'b1111;
			state	<= 2'b00;
			end
		
		default:	begin		//	回到原态
			links	<= 4'b0000;
			state	<= 2'b00;
			end
		
	endcase
			
end
endtask


endmodule

3,测试testbench

//	
//	测试信号
module		tb_link_port;
reg			clk;
reg			rst_n;
reg			p, q;

wire		a;
wire		b;
wire		c;
wire		d;
//	wire		out;

always	#10	clk = ~clk;		// T = 20.
initial			begin
		rst_n	= 0;	clk = 1;	p = 0;	q = 0;
#10		rst_n	= 1;
//		repeat(8)	begin

#20		p	= 0;	q	= 1;

#20		p	= 1;	q	= 0;

#100	$finish;
//		end
end


link_ports		u1_link_ports(
.clk		(clk		),
.rst_n		(rst_n		),
.p			(p			),
.q			(q			),

.a			(a			),
.b			(b			),
.c			(c			),
.d			(d			)
);


endmodule

4,波形分析,正确!

在这里插入图片描述

相关推荐

  1. 时序电路Verilog设计——基本时序元件

    2024-05-03 13:58:09       42 阅读
  2. 05. 基于Verilog呼吸灯程序设计

    2024-05-03 13:58:09       40 阅读
  3. 实验四:基于System-VerilogFPGA设计与仿真

    2024-05-03 13:58:09       31 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-05-03 13:58:09       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-05-03 13:58:09       101 阅读
  3. 在Django里面运行非项目文件

    2024-05-03 13:58:09       82 阅读
  4. Python语言-面向对象

    2024-05-03 13:58:09       91 阅读

热门阅读

  1. PostgreSQL自带的工具介绍

    2024-05-03 13:58:09       30 阅读
  2. 单例模式的几种实现方式

    2024-05-03 13:58:09       36 阅读
  3. RSA实现中弱密钥漏洞分析

    2024-05-03 13:58:09       34 阅读
  4. Django响应‘表单请求’过程

    2024-05-03 13:58:09       29 阅读
  5. Django运行不提示网址问题

    2024-05-03 13:58:09       33 阅读
  6. 02 C

    2024-05-03 13:58:09       26 阅读
  7. Python的定义和调用函数

    2024-05-03 13:58:09       32 阅读
  8. 初识Vue-组件化开发(详解各个组件)

    2024-05-03 13:58:09       36 阅读