数字逻辑课程实验环境配置与使用说明

I.虚拟机搭建

1.1 Vmware安装

Vmware17安装教程

1.2 Win XP安装

Win XP安装教程

1.3 xftp7安装

给虚拟机添加FTP
xftp7安装教程
在这里插入图片描述

I. Quartus II安装

Quartus II 安装教程

II. 使用说明

2.1 新建工程

file -> New Project wizard
在这里插入图片描述
在这里插入图片描述
一直next到选择芯片,选择Cydone IV E。
在这里插入图片描述

2.2 在工程中加入代码

file->new,选择VHDL file, 选择OK
在这里插入图片描述

2.3 代码编译

创建adder_1.vhd文件,粘贴如下代码:

library ieee;
use ieee.std_logic_1164.all;
entity led is
port(a, b: in std_logic;
		  c: out std_logic);
end entity;

architecture art of led is
begin
	c <= not (a and b);
end architecture;

代码编译
在这里插入图片描述

编译通过

波形仿真

可使用Modelsim仿真(需另外安装Modelsim),或使用Quartus II自带仿真器进行仿真
配置Modelsim: https://jingyan.baidu.com/article/67662997bd197a54d51b8418.html
在这里插入图片描述

右击左侧栏 -> Insert node or bus -> List -> 全部导入 -> OK -> OK
在这里插入图片描述
在这里插入图片描述

若使用Quartus II自带的仿真器进行仿真,单击Simulation-Options
在这里插入图片描述

弹出一个只读的界面,上面是仿真结果(图为与非门的仿真结果)
在这里插入图片描述

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-04-24 07:08:07       91 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-04-24 07:08:07       97 阅读
  3. 在Django里面运行非项目文件

    2024-04-24 07:08:07       78 阅读
  4. Python语言-面向对象

    2024-04-24 07:08:07       88 阅读

热门阅读

  1. CSS学习

    CSS学习

    2024-04-24 07:08:07      36 阅读
  2. 算法和数据结构4.23:

    2024-04-24 07:08:07       40 阅读
  3. web重点收集

    2024-04-24 07:08:07       131 阅读
  4. 大唐杯模拟题

    2024-04-24 07:08:07       33 阅读
  5. Scala OOP

    2024-04-24 07:08:07       28 阅读
  6. nodejs 中间件

    2024-04-24 07:08:07       35 阅读
  7. 什么是架构?说说我的理解

    2024-04-24 07:08:07       32 阅读
  8. 自己实现httpsession

    2024-04-24 07:08:07       25 阅读
  9. TinyWebServer学习笔记(一):WSL编译运行

    2024-04-24 07:08:07       27 阅读
  10. union节省内存

    2024-04-24 07:08:07       30 阅读