STM32之HAL开发——QSPI协议介绍

QSPI协议

OSPI是Oueued SPl的简写,是Motorola公司推出的 SPI接口的扩展,比SPI应用更加广泛。在 SPI协议的基础上,Motorola公司对其功能进行了增强,增加了队列传输机制,推出了队列串行外围接口协议(即 OSPI协议)。OSPI是一种专用的通信接口连接单、双或四(数据线)SPIFlash存储介质。STM32上将种接口称为OUADSPI接口。

QSPI——FLASH硬件接线图

QSPI(四线传输模式)协议层与SPI对比

QSPI在发送指令时,只能用一根数据线进行数据的传输,在传输地址和数据时则可以用4根数据线进行传输。

QSPI三种操作模式

  1. 间接模式:使用 QSPI 寄存器执行全部操作。此模式下,所有数据传输通过数据寄存器和FIFO(32位)。如果进行擦除或编程操作,则必须使用该模式。
  2. 状态轮询模式:周期性读取外部 FLASH 状态寄存器,而且标志位置 1 时会产生中断(如擦除或烧写完成,会产生中断)。
  3. 内存映射模式:外部 FLASH 映射到微控制器地址空间,从而系统将其视作内部存储器。可以用于阅读操作、可以从外部QSPI FLASH执行代码。在该模式下只支持读取不支持修改,该模式最大支持256MB

SIOO(Send instruction only-once)

某些厂商会称为continuous-read mode、burst mode、performance-enhanced mode.该特性支三种操作模式。当SIOO使能后,读操作只会发送一次指令,后面只会发送地址。指令只会在开始读操作时发送一次。如果发生了一个新的读操作,只会发送地址。该特性可以有效减少QSPI flash访问开销并促进性能。
 

相关推荐

最近更新

  1. TCP协议是安全的吗?

    2024-04-02 09:50:03       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-04-02 09:50:03       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-04-02 09:50:03       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-04-02 09:50:03       18 阅读

热门阅读

  1. imu测试--UDP、PTP

    2024-04-02 09:50:03       16 阅读
  2. RUST 中什么情况下要使用 .unwrap ( )

    2024-04-02 09:50:03       16 阅读
  3. vue2 预览word文件

    2024-04-02 09:50:03       18 阅读
  4. C++宝强越狱1.0.6版本

    2024-04-02 09:50:03       17 阅读
  5. python 批量向MQTT服务器推送数据

    2024-04-02 09:50:03       15 阅读
  6. FastAPI Web框架教程 第13章 WebSocket

    2024-04-02 09:50:03       17 阅读
  7. 设计模式-单例模式(懒汉式)

    2024-04-02 09:50:03       20 阅读
  8. Anaconda conda常用命令

    2024-04-02 09:50:03       21 阅读