FPGA在校学习记录系列---新建一个FPGA工程编写程序并仿真(Verilog HDL)

此系列记录FPGA在学校的学习过程。
FPGA系列

需要用到的软硬件:

软件:
在这里插入图片描述
硬件:
5CEBA4F23C7芯片

开始新建一个工程

首先打开FPGA的软件
在这里插入图片描述
双击“New Quartus II Project”
在这里插入图片描述
“NEXT”

在这里插入图片描述
填入项目路径,名称,实体名
在这里插入图片描述
创建一个空项目
在这里插入图片描述
可以添加文件,但是我们暂时不添加
在这里插入图片描述
开始选择开发板上的芯片

在这里插入图片描述
这里使用的是Verilog HDL语言
在这里插入图片描述

Finsh,完成创建

在这里插入图片描述

创建Verilog HDL文件(.V文件)

1.窗口界面

在这里插入图片描述

2.开始新建一个.v空白文件

在这里插入图片描述
在新建的文件里面添加测试程序
在这里插入图片描述

//FPGA 使用:Verilog HDL语言
module FPGA_Project_New (a, b, s, y);//模块名
input a,b,s;
output reg y;
always @(a or b or s)
begin
	if(s)
		y<=b;
	else
		y<=a;
end
endmodule
//s输入等于1时,y的值等于b,否则等于a

保存一下,名字要和模块名一样
在这里插入图片描述

编译

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

仿真

1.生成.VT仿真文件

在这里插入图片描述
可以在文件夹找到生成的.vt文件(文件类别选全部可以看见)
在这里插入图片描述

2.设置初始值并仿真

咱们可以设置初始值
在这里插入图片描述

initial                                                
begin                                                  
// code that executes only once                        
// insert code here --> begin  
	a=0;
	b=1;
	s=1;
	#100 s = 0;
                                                       
// --> end                                             
$display("Running testbench");                       
end     

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
打开后自动弹出
在这里插入图片描述

总结

有一些坑要踩过才知道,所以一定要实际操作一次

相关推荐

  1. FPGA TestBench编写学习

    2024-03-26 19:12:01       42 阅读
  2. FPGA | Modelsim仿真

    2024-03-26 19:12:01       59 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-03-26 19:12:01       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-03-26 19:12:01       101 阅读
  3. 在Django里面运行非项目文件

    2024-03-26 19:12:01       82 阅读
  4. Python语言-面向对象

    2024-03-26 19:12:01       91 阅读

热门阅读

  1. Windows与Linux端口占用查看、杀死的方法

    2024-03-26 19:12:01       33 阅读
  2. # 15 React 使用useEffect获取网络数据

    2024-03-26 19:12:01       45 阅读
  3. C++中string容器的元素访问

    2024-03-26 19:12:01       44 阅读
  4. 6. C++ 内存分布

    2024-03-26 19:12:01       35 阅读
  5. 大数据中TopK问题

    2024-03-26 19:12:01       38 阅读
  6. vue中动态路由是什么该如何实现

    2024-03-26 19:12:01       44 阅读
  7. Dockerfile, nginx.conf文件解读

    2024-03-26 19:12:01       39 阅读
  8. react之useContext

    2024-03-26 19:12:01       47 阅读
  9. Dalle-3、Sora、Stable Diffusion 3 掀起AIGC新浪潮

    2024-03-26 19:12:01       42 阅读
  10. NTP服务搭建

    2024-03-26 19:12:01       38 阅读
  11. Android源码 国内

    2024-03-26 19:12:01       43 阅读
  12. Linux-各接口速率统计

    2024-03-26 19:12:01       36 阅读