FPGA | Modelsim仿真

生成vcd

modelsim可以生成vcd文件,假设测试文件为test,内部例化的顶层命名为top.在运行仿真之前终端输入如下代码。

vcd add -file myvcdfile.vcd -r /test/u_rec_intra_top/*

#add -file myvcdfile.vcd 指定vcd文件

  • -r | 将内部所有信号添加

然后运行仿真,关闭仿真就可以在工程文件夹下看到myvcdfile.vcd了。

打开vcd查看波形

modelsim是不能直接打开vcd文件的,其查看波形使用的是wlf文件,因此modelsim是通过将vcd转换成wlf文件再进行打开。转换代码和打开如下。

vcd2wlf myvcdfile.vcd mywlf.wlf
vsim -view mywlf.wlf

相关推荐

  1. CFD仿真流程

    2023-12-10 12:52:02       35 阅读
  2. FPGA | Modelsim仿真

    2023-12-10 12:52:02       42 阅读

最近更新

  1. TCP协议是安全的吗?

    2023-12-10 12:52:02       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2023-12-10 12:52:02       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2023-12-10 12:52:02       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2023-12-10 12:52:02       18 阅读

热门阅读

  1. pytorch 钩子函数hook 详解及实战

    2023-12-10 12:52:02       40 阅读
  2. vue.js怎么保证计算精度

    2023-12-10 12:52:02       36 阅读
  3. rollup打包报错“semantic error TS2802”

    2023-12-10 12:52:02       37 阅读
  4. 4-Docker命令之docker rename

    2023-12-10 12:52:02       29 阅读
  5. JVM常用调优参数

    2023-12-10 12:52:02       40 阅读
  6. 信息学奥赛一本通1851:【08NOIP提高组】笨小猴

    2023-12-10 12:52:02       40 阅读
  7. Git使用

    Git使用

    2023-12-10 12:52:02      35 阅读