第6篇:全加器的仿真

Q:上一篇里我们创建了全加器,接下来我们使用ModelSim软件对全加器进行仿真。

A:编写仿真脚本(Test Bench)文件进行仿真,Test Bench主要是为测试或仿真Verilog程序搭建了一个平台,给被测试的模块施加激励信号,通过观察被测试模块的输出响应,来判断其逻辑功能和时序关系是否正确。

创建全加器的Test Bench文件.v文件:

image-20230905110829035

从仿真后的波形图可以看出结果与预期一致,说明全加器功能已实现。

注:还可以参考《University Program VWF仿真步骤__全加器》进行仿真。

相关推荐

  1. [LeetCode][LCR190]加密运算——实现

    2024-03-21 11:54:02       43 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-03-21 11:54:02       67 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-03-21 11:54:02       72 阅读
  3. 在Django里面运行非项目文件

    2024-03-21 11:54:02       58 阅读
  4. Python语言-面向对象

    2024-03-21 11:54:02       69 阅读

热门阅读

  1. OpenCV 单目线结构光生成点云

    2024-03-21 11:54:02       45 阅读
  2. Day 24 回溯算法01

    2024-03-21 11:54:02       34 阅读
  3. git 删除所有的 commit message 信息

    2024-03-21 11:54:02       38 阅读
  4. 使用EasyExcel校验表头各字段的类型

    2024-03-21 11:54:02       43 阅读
  5. C# winform的双向数据绑定

    2024-03-21 11:54:02       40 阅读
  6. chatgpt正面案例合集

    2024-03-21 11:54:02       37 阅读
  7. 【力扣】189.轮转数组

    2024-03-21 11:54:02       40 阅读
  8. 【Spring Boot 3】【YAML】读取YAML文件

    2024-03-21 11:54:02       42 阅读
  9. React 的 diff 算法

    2024-03-21 11:54:02       38 阅读
  10. Linux部署SVN

    2024-03-21 11:54:02       40 阅读
  11. 机器学习基础总结(核心理论和实践应用)

    2024-03-21 11:54:02       33 阅读