【牛客】VL74 异步复位同步释放

描述

题目描述:

请使用异步复位同步释放来将输入数据a存储到寄存器中,并画图说明异步复位同步释放的机制原理

信号示意图:

在这里插入图片描述

clk为时钟

rst_n为低电平复位

d信号输入

dout信号输出

波形示意图:

在这里插入图片描述

输入描述:

clk为时钟

rst_n为低电平复位

d信号输入

输出描述:

dout信号输出

`timescale 1ns/1ns

module ali16(
input clk,
input rst_n,
input d,
output reg dout
 );

//*************code***********//
initial dout = 1'b0;

reg rst_n_r = 1'b1,
    rst_n_d1_r = 1'b1;

always @(posedge clk, negedge rst_n) begin
    if (~rst_n) begin
        rst_n_r <= 1'b1;
        rst_n_d1_r <= 1'b1;
    end else begin
        rst_n_r <= 1'b0;
        rst_n_d1_r <= rst_n_r;
    end
end

always @(posedge clk, posedge rst_n_d1_r) begin
    if (rst_n_d1_r) begin
        dout <= 1'b0;
    end else begin
        dout <= d;
    end
end

//*************code***********//
endmodule

相关推荐

  1. 异步复位同步释放原则

    2024-03-11 13:00:02       45 阅读
  2. 同步复位异步复位的优缺点

    2024-03-11 13:00:02       37 阅读
  3. 挑战赛74(A,B,C,D)

    2024-03-11 13:00:02       127 阅读
  4. VL2 异步复位的串联T触发器

    2024-03-11 13:00:02       32 阅读
  5. 【打卡】网:BM75 编辑距离(一)

    2024-03-11 13:00:02       63 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-03-11 13:00:02       98 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-03-11 13:00:02       106 阅读
  3. 在Django里面运行非项目文件

    2024-03-11 13:00:02       87 阅读
  4. Python语言-面向对象

    2024-03-11 13:00:02       96 阅读

热门阅读

  1. Linux开发的常用桌面界面有哪些?以及使用推荐

    2024-03-11 13:00:02       38 阅读
  2. linux安全配置规范

    2024-03-11 13:00:02       34 阅读
  3. 【c++11线程库的使用】

    2024-03-11 13:00:02       28 阅读
  4. 数据结构-双链表

    2024-03-11 13:00:02       45 阅读
  5. 如何在vue中使用sort对数组进行排序

    2024-03-11 13:00:02       42 阅读
  6. TensorFlow是啥

    2024-03-11 13:00:02       40 阅读
  7. 2024 年 AI 辅助研发趋势

    2024-03-11 13:00:02       42 阅读
  8. 为什么不推荐使用外键

    2024-03-11 13:00:02       50 阅读
  9. vite项目修改依赖不更新,清除依赖缓存

    2024-03-11 13:00:02       37 阅读
  10. linux 入门(七)-ffmpeg使用

    2024-03-11 13:00:02       38 阅读