「Verilog学习笔记」异步复位同步释放

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module ali16 (
    input clk,
    input rst_n,
    input d,
    output reg dout
);

//*************code***********//
    reg rst0, rst1 ; 
    always @ (posedge clk or negedge rst_n) begin 
        if (!rst_n) begin
            rst0 <= 0 ; 
            rst1 <= 0 ; 
        end
        else begin 
            rst0 <= 1 ; 
            rst1 <= rst0 ; 
        end
    end

    always @ (posedge clk or negedge rst1) begin 
        if (!rst1) dout <= 0 ; 
        else dout <= d ; 
    end

//*************code***********//
endmodule

相关推荐

  1. 异步复位同步释放原则

    2024-01-09 20:04:04       26 阅读
  2. 同步复位异步复位的优缺点

    2024-01-09 20:04:04       13 阅读

最近更新

  1. TCP协议是安全的吗?

    2024-01-09 20:04:04       18 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-01-09 20:04:04       19 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-01-09 20:04:04       19 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-01-09 20:04:04       20 阅读

热门阅读

  1. Docker 的基本概念和优势

    2024-01-09 20:04:04       30 阅读
  2. PHP 完整表单实例

    2024-01-09 20:04:04       29 阅读
  3. leetcode09-机器人能否返回原点

    2024-01-09 20:04:04       44 阅读
  4. LeetCode 447. 回旋镖的数量,枚举+哈哈希

    2024-01-09 20:04:04       45 阅读
  5. leetcode07-罗马数字的转换

    2024-01-09 20:04:04       44 阅读
  6. Qt基础-容器类详解

    2024-01-09 20:04:04       40 阅读
  7. 国内免费协同办公软件盘点,快速入门指南

    2024-01-09 20:04:04       37 阅读
  8. 【Verilog】行为级建模

    2024-01-09 20:04:04       45 阅读
  9. 消息队列-RockMQ-重试参数设置

    2024-01-09 20:04:04       40 阅读