【芯片设计- RTL 数字逻辑设计入门 9 -- 异步复位的串联T触发器】

异步复位的串联T触发器

用 verilog 实现两个串联的异步复位的T触发器的逻辑,结构如图:
在这里插入图片描述
T 触发器的概念:来 1 翻转,来 0 保持。

verilog 代码

在这里插入图片描述

TestBench 代码

在这里插入图片描述

VCS 波形仿真

在这里插入图片描述

问题小结

在这里插入图片描述
问题导致的原因是 if (d) begin 语句之后没有 end 结束符,直接 else begin,所以在每个 if (expression) begin 之后都不能少掉 end

推荐阅读
https://www.nowcoder.com/exam/oj?page=1&tab=Verilog%E7%AF%87&topicId=301

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-02-06 21:38:01       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-02-06 21:38:01       101 阅读
  3. 在Django里面运行非项目文件

    2024-02-06 21:38:01       82 阅读
  4. Python语言-面向对象

    2024-02-06 21:38:01       91 阅读

热门阅读

  1. 各互联网企业测绘资质调研

    2024-02-06 21:38:01       48 阅读
  2. ArrayList和LinkedList的区别是什么

    2024-02-06 21:38:01       59 阅读
  3. Spring MVC跨域设置

    2024-02-06 21:38:01       55 阅读
  4. 数据结构-队列

    2024-02-06 21:38:01       50 阅读
  5. 记一次面试题

    2024-02-06 21:38:01       49 阅读
  6. 观察者模式

    2024-02-06 21:38:01       52 阅读
  7. 【技术预研】StarRocks官方文档浅析(4)

    2024-02-06 21:38:01       68 阅读
  8. go常见问题

    2024-02-06 21:38:01       49 阅读