「Verilog学习笔记」任意小数分频

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module div_M_N(
    input  wire clk_in,
    input  wire rst,
    output wire clk_out
);
    parameter M_N = 8'd87; 
    parameter c89 = 8'd24; // 8/9时钟切换点
    parameter div_e = 5'd8; //偶数周期
    parameter div_o = 5'd9; //奇数周期
//*************code***********//
    reg [3:0] clk_cnt ; 
    reg [6:0] cyc_cnt ;
    reg div_flag ; 
    reg clk_out_r ; 

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) clk_cnt <= 0 ; 
        else if (~div_flag) clk_cnt <= clk_cnt == (div_e - 1) ? 0 : clk_cnt + 1 ; 
        else clk_cnt <= clk_cnt == (div_o - 1) ? 0 : clk_cnt + 1 ; 
    end

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) cyc_cnt <= 0 ; 
        else cyc_cnt <= cyc_cnt == (M_N - 1) ? 0 : cyc_cnt + 1 ; 
    end

    always @ (posedge clk_in or negedge rst) begin
        if (~rst) div_flag <= 0 ; 
        else div_flag <= cyc_cnt == (M_N - 1) || cyc_cnt == (c89 - 1) ? ~div_flag : div_flag ; 
    end

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) clk_out_r <= 0 ; 
        else if (~div_flag) clk_out_r <= clk_cnt <= ((div_e >> 2) + 1) ; // cnt == 0,1,2,3 out输出1
        else clk_out_r <= clk_cnt <= ((div_o >> 2) + 1) ;
    end

    assign clk_out = clk_out_r ; 

//*************code***********//
endmodule

参考资料:题解 | #任意小数分频#_牛客博客

相关推荐

最近更新

  1. TCP协议是安全的吗?

    2023-12-06 05:44:06       18 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2023-12-06 05:44:06       19 阅读
  3. 【Python教程】压缩PDF文件大小

    2023-12-06 05:44:06       18 阅读
  4. 通过文章id递归查询所有评论(xml)

    2023-12-06 05:44:06       20 阅读

热门阅读

  1. Docker 安装 Redis 挂载配置

    2023-12-06 05:44:06       30 阅读
  2. Python 音频处理相关工具库

    2023-12-06 05:44:06       38 阅读
  3. 如何基于OpenCV和Sklearn库开展数据降维

    2023-12-06 05:44:06       34 阅读
  4. soapui报错: CXF directory must be set in global preferences

    2023-12-06 05:44:06       34 阅读
  5. Hadoop学习笔记(HDP)-Part.03 资源规划

    2023-12-06 05:44:06       40 阅读
  6. 前后端接口设计规范

    2023-12-06 05:44:06       39 阅读