数字电路之简单的取值和自加功能

dut.v

module counter (
    input clk,rstn,load,
    input [1:0] in,
    output reg [1:0] out
);

// always @(posedge clk ) begin
// always @(negedge clk ) begin
always @(posedge clk or negedge rstn) begin
    if(!rstn) begin
        out <= 2'd0;
    end
    else if(load)begin
        out <= in;
    end 
    else begin
        out <= out +1'b1 ;        
    end
end
    
endmodule

sim_top.sv

module sim_top (
);

reg  clk ;
reg  rstn;
reg  load;
reg  [1:0] in  ;
wire out ;

task  task00();
    begin
    rstn = 1'b0; #100ns;
    rstn = 1'b1;
    load = 1'b1;
        repeat(10)begin
            in = in+1'b1;
            #10 ;clk = ~clk;
            #10 ;clk = ~clk;
        end
    end
endtask 
task  task01();
    begin
    rstn = 1'b0; #100ns;
    rstn = 1'b1;
    load = 1'b0;
        repeat(10)begin
            in = in+1'b1;
            #10 ;clk = ~clk;
            #10 ;clk = ~clk;
        end
    end
endtask 
initial begin
clk  =1'd0;
rstn =1'd0;
load =1'd0;
in   =2'd0;

#100ns;
task00;
#100ns;
task01;
#100ns;

end


counter dut (
    .clk    (clk ),
    .rstn   (rstn),
    .load   (load),
    .in     (in  ),
    .out    (out )
);

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

仿真效果

在上电初始阶段
rstn和load为低电平,输出位0
在load使能之后
时钟上升沿采样输出IN的值
经过复位之后,输出为0
这次将load置低
电路进行自加1功能
在这里插入图片描述

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-21 08:00:02       52 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-21 08:00:02       54 阅读
  3. 在Django里面运行非项目文件

    2024-07-21 08:00:02       45 阅读
  4. Python语言-面向对象

    2024-07-21 08:00:02       55 阅读

热门阅读

  1. mac os 去除压缩包下的__MACOSX

    2024-07-21 08:00:02       17 阅读
  2. Code Effective 学习笔记--第六章可以工作的类

    2024-07-21 08:00:02       15 阅读
  3. 嵌入式编译

    2024-07-21 08:00:02       11 阅读
  4. HTTP请求与响应:Python爬虫技术解析

    2024-07-21 08:00:02       17 阅读
  5. 算法刷题笔记 模拟堆(C++实现)

    2024-07-21 08:00:02       15 阅读
  6. 6 回归集成:xgb、lgb、cat

    2024-07-21 08:00:02       17 阅读
  7. 计算机网络发展历史

    2024-07-21 08:00:02       15 阅读
  8. 基于深度学习的医疗数据分析

    2024-07-21 08:00:02       15 阅读
  9. Qunar容器集群监控系统架构实践

    2024-07-21 08:00:02       14 阅读
  10. 三角函数tan

    2024-07-21 08:00:02       14 阅读