芯片基础 | Verilog仿真平台及数字逻辑仿真(上)

被测试器件DUT是一个二选一多路器,测试程序(testbench)提供测试激励及验证机制

Testbench使用行为级描述,DUT采用门级描述

下面将给出Testbench的描述、DUT的描述及如何进行混合仿真(行为级+门级)

DUT (Device Under Test)


module mux2_1(
    //Port declarations 端口声明
    output wire out,
    input wire a, b, sel
)
    // internal variables 内部信号变量
    wire sel_, a1, b1;

    //netlist 网表
    not(sel_, sel);
    and(a1, a, sel_);
    and(b1,b,sel);
    or(out,a1,b1);
endmodule

代码要手翘写哦。

Testbench-基本结构

module testbench;
ll Data type declaration   :DUT的输入与输出
l/ lnstantiate modules
ll Stimulus Driver
l/ Response Capture
l/ Results

相关推荐

  1. 使用verilog编写记忆拼图游戏设计仿真

    2024-07-18 15:14:01       39 阅读
  2. 使用verilog设计实现16位CPU仿真

    2024-07-18 15:14:01       36 阅读
  3. 实验四:基于System-Verilog的FPGA设计与仿真

    2024-07-18 15:14:01       28 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-18 15:14:01       70 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-18 15:14:01       74 阅读
  3. 在Django里面运行非项目文件

    2024-07-18 15:14:01       62 阅读
  4. Python语言-面向对象

    2024-07-18 15:14:01       72 阅读

热门阅读

  1. 【18】Android 线程间通信(三) - Handler

    2024-07-18 15:14:01       19 阅读
  2. SpinalHDL之Flow

    2024-07-18 15:14:01       24 阅读
  3. 精通JVM监控与调优:工具使用与命令指南

    2024-07-18 15:14:01       24 阅读
  4. C#配置文件中AppSettings的读写

    2024-07-18 15:14:01       19 阅读
  5. Flutter 开源库学习

    2024-07-18 15:14:01       22 阅读
  6. 白骑士的C++教学附加篇 5.2 代码规范与最佳实践

    2024-07-18 15:14:01       18 阅读
  7. 基于STM32设计的人体健康监测系统(华为云IOT)(189)

    2024-07-18 15:14:01       23 阅读
  8. x264 写入码流函数分析与介绍

    2024-07-18 15:14:01       23 阅读
  9. Spring Boot 动态多数据源配置

    2024-07-18 15:14:01       23 阅读
  10. react中状态管理useState

    2024-07-18 15:14:01       18 阅读
  11. 深度学习,人工智能

    2024-07-18 15:14:01       19 阅读