vivado 2019.2/modelsim SE-64 2019.2联合仿真

参考链接

需要修改的地方:

在这里插入图片描述

  1. 编译时几个VHDL的库会报错,将language改为verilog
  2. family只选择当前板子(artix-7),速度会更快,错误率更低
  3. compile library path最好选择vivado安装路径下的位置,很久之前尝试过编译库放到modelsim的路径下,试了很久没成功
  4. simulator exe path选择包含modelsim.exe的那个文件夹
  5. compile IP不选也不影响ip核的仿真
    最后一步是在()设置-系统-高级系统设置-高级-环境变量)添加用户变量
    在这里插入图片描述
    变量内容是编译库所在位置的modelsim.ini文件地址,这样的话之后打开vivado不用重新编译,直接使用已经编译好的库

注意

  1. 修改代码后在vivado中重新点击simulate,会报错显示一个.log文件正在使用,目前没有解决办法,只能把modelsim关掉后再点击simulate。推测是modelsim记录日志信息的位置与vivado的simulate功能有交叉占用,后续也许可以通过设置解决。
  2. modelsim默认仿真的是vivado中的top文件,一定要检查好是否正确,尤其是在调试别人的工程时,没有那么熟悉,可能会忽略这个问题
    在这里插入图片描述
  3. 遇到过vivado报错.ini文件不可访问,权限不足的问题,vivado重启后解决

相关推荐

  1. FPGA | Modelsim仿真

    2024-07-16 18:34:04       58 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-16 18:34:04       70 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-16 18:34:04       74 阅读
  3. 在Django里面运行非项目文件

    2024-07-16 18:34:04       62 阅读
  4. Python语言-面向对象

    2024-07-16 18:34:04       72 阅读

热门阅读

  1. TCP可靠传输例题

    2024-07-16 18:34:04       19 阅读
  2. 漏洞-Alibaba Nacos derby 远程代码执行漏洞

    2024-07-16 18:34:04       21 阅读
  3. Kylin系列(一)入门

    2024-07-16 18:34:04       22 阅读
  4. 【Linux dd 命令】

    2024-07-16 18:34:04       20 阅读
  5. Mysql数据库(二)

    2024-07-16 18:34:04       19 阅读
  6. 前端HTML基础

    2024-07-16 18:34:04       19 阅读
  7. TypeScript

    2024-07-16 18:34:04       20 阅读
  8. Windows图形界面(GUI)-SDK-C/C++ - 窗口类注册和管理

    2024-07-16 18:34:04       23 阅读
  9. unseping

    unseping

    2024-07-16 18:34:04      24 阅读