Xilinx FPGA 管脚的默认电平配置方法 XDC约束

未使用的引脚,XDC约束

set_property BITSTREAM.CONFIG.UNUSEDPIN PULLDOWN [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLUP [current_design]
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]

其他已使用的引脚,XDC约束

set_property PULLDOWN true [get_ports RF28V_EN]
set_property PULLDOWN true [get_ports RF5V_EN]
set_property PULLUP true [get_ports LNA_EN]
set_property PULLDOWN true [get_ports PA_EN]
set_property PULLDOWN true [get_ports bypass_EN]
set_property PULLDOWN true [get_ports C1]
set_property PULLDOWN true [get_ports C2]
set_property PULLDOWN true [get_ports C3]
set_property PULLDOWN true [get_ports C4]

相关推荐

  1. Xilinx FPGA 默认电平配置方法 XDC约束

    2024-06-06 15:48:36       10 阅读
  2. 晶闸及好坏判别

    2024-06-06 15:48:36       39 阅读
  3. 关于XDC 约束固化flash流程

    2024-06-06 15:48:36       15 阅读
  4. 如何分配FPGA

    2024-06-06 15:48:36       19 阅读
  5. windows配置电脑网络IP方法

    2024-06-06 15:48:36       35 阅读
  6. vivado 用XDC约束IP和子模块

    2024-06-06 15:48:36       28 阅读

最近更新

  1. TCP协议是安全的吗?

    2024-06-06 15:48:36       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-06-06 15:48:36       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-06-06 15:48:36       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-06-06 15:48:36       18 阅读

热门阅读

  1. shell 支持多线程

    2024-06-06 15:48:36       7 阅读
  2. 【前端每日基础】day34——HTTP和HTTPS

    2024-06-06 15:48:36       7 阅读
  3. 常用系统命令/参数/工具统计

    2024-06-06 15:48:36       9 阅读
  4. MyBatis 入门详解

    2024-06-06 15:48:36       8 阅读
  5. 政府窗口服务第三方评估报告如何写

    2024-06-06 15:48:36       7 阅读
  6. 【Linux】System V 消息队列(不重要)

    2024-06-06 15:48:36       10 阅读
  7. 服务器硬件介绍(2)

    2024-06-06 15:48:36       10 阅读