非整数倍数据位宽转换24to128

描述

        实现数据位宽转换电路,实现24bit数据输入转换为128bit数据输出。其中,先到的数据应置于输出的高bit位。

        电路的接口如下图所示。valid_in用来指示数据输入data_in的有效性,valid_out用来指示数据输出data_out的有效性;clk是时钟信号;rst_n是异步复位信号。

接口时序示意图

输入描述

    input                 clk         ,   
    input                 rst_n        ,
    input                valid_in    ,
    input    [23:0]        data_in       

 输出描述

    output    reg            valid_out    ,
    output  reg [127:0]    data_out

解题分析

输入:valid_in, data_in[23:0]
输出:valid_out,data_out[127:0]
        输入数据是24bit,输出数据是128bit。

        因为128×3=24×16128\times3=24\times16128×3=24×16,所以每输入16个有效数据,就可以产生三个完整的输出。因此设置一个仅在输入数据有效时工作的计数器cnt,计数范围是0-15。

    reg [3:0]   cnt;
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            cnt <= 0;
        else
            cnt <= ~valid_in? cnt:
                   cnt==15  ? 0  :
                   cnt+1;
    end

然后设置一个数据暂存器data_lock,每当输入有效时,将数据从低位移入。

reg [127:0] data_lock;
always@(posedge clk or negedge rst_n) begin
    if(~rst_n)
      	data_lock <= 0;
    else
      	data_lock <= valid_in? {data_lock[103:0], data_in}: data_lock;
end

alt

        由上图易得,每当计数器cnt计数到5、10、15时,data_out要进行更新,并拉高valid_out一个周期。 

    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            valid_out <= 0;
        else
            valid_out <= (cnt==5 || cnt==10 || cnt==15)&&valid_in;
    end
     
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            data_out <= 0;
        else if(cnt==5)
            data_out <= valid_in? {data_lock[119:0], data_in[23:16]}: data_out;
        else if(cnt==10)
            data_out <= valid_in? {data_lock[111:0], data_in[23: 8]}: data_out;
        else if(cnt==15)
            data_out <= valid_in? {data_lock[103:0], data_in[23: 0]}: data_out;
        else
            data_out <= data_out;
    end

参考代码

`timescale 1ns/1ns

module width_24to128(
	input 				clk 		,   
	input 				rst_n		,
	input				valid_in	,
	input	[23:0]		data_in		,
 
 	output	reg			valid_out	,
	output  reg [127:0]	data_out
);
    reg [3:0]   cnt;
    reg [127:0] data_lock;
    
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            cnt <= 0;
        else
            cnt <= ~valid_in? cnt:cnt+1;
    end
    
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            valid_out <= 0;
        else
            valid_out <= (cnt==5 || cnt==10 || cnt==15)&&valid_in;
    end
    
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            data_lock <= 0;
        else
            data_lock <= valid_in? {data_lock[103:0], data_in}: data_lock;
    end
    
    always@(posedge clk or negedge rst_n) begin
        if(~rst_n)
            data_out <= 0;
        else if(cnt==5)
            data_out <= valid_in? {data_lock[119:0], data_in[23:16]}: data_out;
        else if(cnt==10)
            data_out <= valid_in? {data_lock[111:0], data_in[23: 8]}: data_out;
        else if(cnt==15)
            data_out <= valid_in? {data_lock[103:0], data_in[23: 0]}: data_out;
        else
            data_out <= data_out;
    end
endmodule

注:解题分析来源于网友,如有侵权,请告删之。

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-05-25 22:16:29       98 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-05-25 22:16:29       106 阅读
  3. 在Django里面运行非项目文件

    2024-05-25 22:16:29       87 阅读
  4. Python语言-面向对象

    2024-05-25 22:16:29       96 阅读

热门阅读

  1. Kubernetes 之 Pod 容忍度与节点污点

    2024-05-25 22:16:29       32 阅读
  2. clickhouse生产运维以及调优

    2024-05-25 22:16:29       29 阅读
  3. Android Studio 问题集锦

    2024-05-25 22:16:29       38 阅读
  4. C++ char[]、char*、const char*、string 相互转换

    2024-05-25 22:16:29       29 阅读
  5. frm一级4个1大神复习经验分享系列(二)

    2024-05-25 22:16:29       33 阅读
  6. TODOLIST

    TODOLIST

    2024-05-25 22:16:29      24 阅读
  7. Flutter 中的 AnimatedList 小部件:全面指南

    2024-05-25 22:16:29       41 阅读
  8. MySQL InnoDB 引擎的多版本并发控制MVCC

    2024-05-25 22:16:29       32 阅读
  9. Log4j

    2024-05-25 22:16:29       27 阅读
  10. 【数据结构与算法 | 基础篇】环形数组模拟队列

    2024-05-25 22:16:29       38 阅读