半加器___

1.原理

2.代码

2.1 half_adder.v

module half_adder
(
	input	wire		in_1	,
	input 	wire		in_2	,
	
	output	wire		sum		,
	output  wire 		count	
	
);

assign {count,sum}=in_1+in_2;

endmodule

2.2 tb_half_adder.v

`timescale 1ns/1ns

module tb_half_adder();

reg in_1;
reg in_2;

wire sum;
wire count;

initial 
	begin
	in_1<=1'b0;
	in_2<=1'b0;
	end
	
always# 10 in_1={$random}%2;
always# 10 in_2={$random}%2;

initial
	begin
		$timeformat(-9,0,"ns",6);
		$monitor("@time %t:in_1=%b,in_2=%b,sum=%b,count=%b",$time,in_1,in_2,sum,count);
	end


half_adder half_adder_inst
(
	.in_1		(in_1),
    .in_2       (in_2),
				
    .sum	    (sum),
    .count      (count)
);

endmodule

相关推荐

  1. uniapp vue 前端页面小时选择

    2024-03-22 11:04:02       30 阅读
  2. JVM

    2024-03-22 11:04:02       19 阅读
  3. jvm类

    2024-03-22 11:04:02       26 阅读

最近更新

  1. TCP协议是安全的吗?

    2024-03-22 11:04:02       16 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-03-22 11:04:02       16 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-03-22 11:04:02       15 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-03-22 11:04:02       18 阅读

热门阅读

  1. Python实战:打包与分发setup.py与pip

    2024-03-22 11:04:02       19 阅读
  2. 【设计模式】第二讲:单例模式

    2024-03-22 11:04:02       17 阅读
  3. 大厂面试--使用Typescript 的优势有哪些?

    2024-03-22 11:04:02       17 阅读
  4. 系统资源耗尽对服务器的影响

    2024-03-22 11:04:02       18 阅读
  5. docker搭建cadvisor

    2024-03-22 11:04:02       23 阅读
  6. Codeforces Round 797 (Div. 3)

    2024-03-22 11:04:02       22 阅读
  7. OPTEE v3.20.0 FVP环境搭建

    2024-03-22 11:04:02       18 阅读
  8. SQL server 里按年按月进行累计值统计

    2024-03-22 11:04:02       15 阅读
  9. Android 开发制作系统签名

    2024-03-22 11:04:02       19 阅读
  10. Stream流

    2024-03-22 11:04:02       17 阅读