FPGA Vivado环境下实现D触发器

 题目要求:使用Verilog HDL语言设计一个D触发器。请提交程序源代码和Word格式的作业文档,作业文档中应给出程序源代码及RTL分析原理图。

D触发器的工作原理:

初始状态下,触发器处于复位状态,输出为复位信号的稳定状态(通常为0)。使能信号 EN 和输入数据 D 的值不会影响触发器的状态。

当使能信号 EN 为高电平时,D触发器处于使能状态,可以根据时钟信号 CLK 的变化来接收和存储输入数据。

当使能信号 EN 为低电平时,D触发器处于禁止状态,不论时钟信号 CLK 如何变化,都不会对输入数据进行接收或存储。

当时钟 CLK 发生上升沿或下降沿时(取决于D触发器的类型),且使能信号 EN 为高电平时,D触发器根据输入数据 D 来更新其状态。

如果是上升沿触发的D触发器,在时钟上升沿时,输入数据 D 的值将被传递到触发器的输出端 Q 中,即 Q = D。

如果是下降沿触发的D触发器,在时钟下降沿时,输入数据 D 的值将被传递到触发器的输出端 Q 中,即 Q = D。

当复位信号 RESET 为高电平时,无论时钟 CLK 和使能信号 EN 的状态如何,触发器均处于复位状态,输出为复位信号的稳定状态。

1.新建一个工程:

2.选芯片的时候任选,不需要管具体的芯片型号,因为原理是一样的

3.双击打开DFF文件

4.可以在工作区写代码了(代码如下)

module DFF(
    input RSTn,
    input CLK,
    input En,
    input D,
    output Q
    );
    wire d,en;
    reg q;
    assign d=D;
    assign Q=q;
    assign en=En;
    always @(posedge CLK or negedge RSTn)begin
        if(!RSTn) begin
        q <= 1;
        end else
            if(en) begin
                q <= d;
            end else
                q <= q;
         end
endmodule

3.点击 RTL ANALYSIS→Open Elaborated Design→Schematic 项,可以查看 RTL 描述后的结构,如下所示:

  最后,如有帮助,动动小手点个赞呗

相关推荐

  1. 容器环境 JVM 设置最佳实践

    2024-03-14 12:12:02       32 阅读
  2. 触发器

    2024-03-14 12:12:02       41 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-03-14 12:12:02       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-03-14 12:12:02       101 阅读
  3. 在Django里面运行非项目文件

    2024-03-14 12:12:02       82 阅读
  4. Python语言-面向对象

    2024-03-14 12:12:02       91 阅读

热门阅读

  1. kafka 开启用户认证

    2024-03-14 12:12:02       41 阅读
  2. 策略模式(Strategy mode)

    2024-03-14 12:12:02       40 阅读
  3. leetcode-322. 零钱兑换

    2024-03-14 12:12:02       52 阅读
  4. c# 前后台协同

    2024-03-14 12:12:02       45 阅读
  5. 【区块链】讲解

    2024-03-14 12:12:02       42 阅读
  6. 软考 系统架构设计师之回归及知识点回顾(4)

    2024-03-14 12:12:02       48 阅读
  7. 临近取样(KNN)算法基本原理&sklearn实现

    2024-03-14 12:12:02       32 阅读