序列发生器

请添加图片描述

  • 一开始想直接FSM,划分出6状态依次输出对应的。
  • 但其实只要6比特的移位寄存器,每次输出高位。复位后的默认值时6’b001_011。这样就可以实现循环,这种移位寄存器也叫barrel_shifter。循环移位。
  • 也可以使用循环计数器,然后case计数器输出对应的比特流。本质和FSM一样。
  • 所以循环左移和循环寄存器主要体现思想是循环,然后循环到哪个阶段输出哪个比特。
`timescale 1ns/1ns

module sequence_generator(
	input clk,
	input rst_n,
	output reg data
	);

reg [5:0] seq_dat;

always @(posedge clk or negedge rst_n)
begin 
	if(!rst_n) begin 
		seq_dat	<=	6'b001_011;
	end else begin 
		seq_dat <= {seq_dat[4:0],seq_dat[5]};
	end 
end 

always @(posedge clk or negedge rst_n) 
begin 
	if(!rst_n) begin 
		data <= 1'b0;
	end else begin 
		data <= seq_dat[5];
	end 
end 
	
endmodule

相关推荐

  1. PostgreSql 序列

    2024-02-19 06:08:02       49 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-02-19 06:08:02       98 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-02-19 06:08:02       106 阅读
  3. 在Django里面运行非项目文件

    2024-02-19 06:08:02       87 阅读
  4. Python语言-面向对象

    2024-02-19 06:08:02       96 阅读

热门阅读

  1. centos 安装git

    2024-02-19 06:08:02       42 阅读
  2. html 动态设置下拉选项

    2024-02-19 06:08:02       49 阅读
  3. axios

    2024-02-19 06:08:02       55 阅读
  4. C++ 134道面试题

    2024-02-19 06:08:02       42 阅读
  5. 强大的蓝牙工具Ubertooth系列

    2024-02-19 06:08:02       47 阅读
  6. 解决Ubuntu23.10中WPS的字体问题

    2024-02-19 06:08:02       56 阅读
  7. 前端中的强缓存与协商缓存

    2024-02-19 06:08:02       50 阅读
  8. LVS/DR配置

    2024-02-19 06:08:02       43 阅读
  9. 二叉树的统一迭代法#思路

    2024-02-19 06:08:02       42 阅读
  10. 课时37:脚本交互_脚本外交互_案例实践

    2024-02-19 06:08:02       47 阅读
  11. Postgresql源码(122)Listen / Notify与事务的联动机制

    2024-02-19 06:08:02       40 阅读
  12. 001:自动驾驶概述

    2024-02-19 06:08:02       36 阅读