vivado xsim 终端 模拟

只模拟的话直接终端运行会快很多
计数器举例

mkdir src

counter.v

module counter(
  input wire clk,
  input wire rst_n,
  output reg[31:0] cnt 
);
always @(posedge clk or negedge rst_n)
  if(!rst_n)
    cnt <= 31'h0;
  else
    cnt <= cnt+1;

endmodule  

tb.v

module tb;
wire[31:0] out;
reg clk;
reg rst_n;

initial begin
  #10 clk <= 1'b0;
  #10 rst_n = 1'b0;
  #10 rst_n = 1'b1;
  #5000 $finish;
end

always #1 clk = ~clk;

counter c1(clk,rst_n,out);

endmodule  

编译 创建模拟snapshot

mkdir sim
cd sim
xvlog ../src/counter.v ../src/tb.v
xelab -debug typical -top tb -snapshot tb

创建脚本
xsim_cfg.tcl

 log_wave -recursive *
 run all
 exit  
xsim tb --tclbatch xsim_cfg.tcl

打开gui

xsim --gui tb.wdb

在这里插入图片描述

相关推荐

  1. Android终端安全审计软件模块开发

    2024-01-07 09:08:01       37 阅读
  2. Android手机使用Termux终端模拟器

    2024-01-07 09:08:01       69 阅读
  3. Android终端模拟器Termux上使用Ubuntu

    2024-01-07 09:08:01       41 阅读
  4. Vscode screen 模式终端窗口查看历史信息

    2024-01-07 09:08:01       17 阅读

最近更新

  1. swift获取app网络和本地网络权限

    2024-01-07 09:08:01       0 阅读
  2. C语言获取当前时间

    2024-01-07 09:08:01       0 阅读
  3. Unity3D项目中如何正确使用Lua详解

    2024-01-07 09:08:01       0 阅读
  4. WPF更新UI线程实现进度条功能

    2024-01-07 09:08:01       0 阅读
  5. mysql 导出导入 数据库

    2024-01-07 09:08:01       1 阅读
  6. python-django-LlamaIndex 精简版

    2024-01-07 09:08:01       1 阅读
  7. 深入Django(五)

    2024-01-07 09:08:01       1 阅读
  8. Django之登录权限系统

    2024-01-07 09:08:01       2 阅读

热门阅读

  1. Linux内核--进程管理(十二)LinuxIO基础知识与概念

    2024-01-07 09:08:01       33 阅读
  2. 如何预防变种.halo勒索病毒感染您的计算机?

    2024-01-07 09:08:01       35 阅读
  3. 【MybatisPlus Docker】

    2024-01-07 09:08:01       42 阅读
  4. 1. Spring Boot 自动配置 Mybatis 流程

    2024-01-07 09:08:01       45 阅读
  5. 《微信小程序开发从入门到实战》学习七十四

    2024-01-07 09:08:01       34 阅读
  6. [设计模式 Go实现] 结构型~装饰模式

    2024-01-07 09:08:01       41 阅读