Vivado link synplify edf 和 xilinx ip或者原语

摘要:Vivado link synplify edf 和 xilinx ip或者原语

如果只有ip的话:

read_edif ./XX.edif

添加IP

link_design -top XX -part XX

write_checkpoint linked.dcp

-part指的是FPGA 器件

-top指的是顶层的名字,乱填会报错

read_edif需要指定edf的路径

如果既有ip,又有原语的话:

先在原语上包一层wrapper,生成dcp

read_edif ./XX.edif

添加IP

link_design -top XX -part XX

read_checkpoint -cell XX ./XX_ooc.dcp

write_checkpoint linked.dcp

read_edif导入顶层edf

-cell 指的是top下例化instance的绝对路径

查看xilinx回复:

AMD Customer Community

参考文章:

AMD Customer Community

最近更新

  1. TCP协议是安全的吗?

    2024-01-06 23:14:03       18 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2024-01-06 23:14:03       19 阅读
  3. 【Python教程】压缩PDF文件大小

    2024-01-06 23:14:03       19 阅读
  4. 通过文章id递归查询所有评论(xml)

    2024-01-06 23:14:03       20 阅读

热门阅读

  1. 地理空间分析1——入门Python地理空间分析

    2024-01-06 23:14:03       38 阅读
  2. Vue3-38-路由-路由的懒加载

    2024-01-06 23:14:03       38 阅读
  3. 八、Vue3组件库经验[Ant Design]

    2024-01-06 23:14:03       39 阅读
  4. web前端之css、style

    2024-01-06 23:14:03       45 阅读
  5. FRP + nginx 获取客户端真实IP

    2024-01-06 23:14:03       45 阅读