FPGA-Verilog 仿真可视化

在这里插入图片描述

DigitalJS 是一个基于 JavaScript 实现的开源数字电路模拟器,旨在模拟由硬件设计工具(如 Yosys)合成的电路。由弗罗茨瓦夫大学的Marek Materzok开发,源文件托管于 Github 上。

DigitalJS 的开源网址如下:https://github.com/tilk/digitaljs

下面这个网址:http://digitaljs.tilk.eu/, 提供了一个 DigitalJS 的在线演示程序,可以对 Verilog/SystemVerilog 等语言进行在线综合、可视化。

输入下面这段 Verilog 代码:

module circuit
  
(
  input a,
  output b
);
 
  assign b=~a;
  
endmodule

输入上面的代码可以生成如下电路:
在这里插入图片描述

上图电路中,点击 a 按钮, LED b 会变为红色。

Yosys 有很多我们通常不使用的功能,包括生成带有设计原理图的 graphviz 文件的能力,尽管它们并不像这样清晰。很高兴看到它与Falstad 作为模拟引擎结合在一起。

yosys2digitaljs: 该程序将由Yosys电路综合软件生成的JSON网表输出转换为DigitalJS图形电路模拟器可用。

还有一个在线 Verilog 编辑仿真软件:EDA Playground, 网址是:https://www.edaplayground.com/, 需要登录才能进入。

参考原文:《Verilog 仿真可视化》

相关推荐

  1. 实验四:基于System-VerilogFPGA设计与仿真

    2023-12-31 23:26:01       10 阅读

最近更新

  1. TCP协议是安全的吗?

    2023-12-31 23:26:01       18 阅读
  2. 阿里云服务器执行yum,一直下载docker-ce-stable失败

    2023-12-31 23:26:01       19 阅读
  3. 【Python教程】压缩PDF文件大小

    2023-12-31 23:26:01       18 阅读
  4. 通过文章id递归查询所有评论(xml)

    2023-12-31 23:26:01       20 阅读

热门阅读

  1. 使用mysqldump命令备份数据库

    2023-12-31 23:26:01       41 阅读
  2. conn.setAutoCommit()实现事务的控制用法及作用

    2023-12-31 23:26:01       40 阅读
  3. Oracle【低版本实现UTC格式转换】

    2023-12-31 23:26:01       41 阅读
  4. 序列化机制以及应用场景

    2023-12-31 23:26:01       39 阅读
  5. 微服务(5)

    2023-12-31 23:26:01       37 阅读
  6. neo4j运维管理

    2023-12-31 23:26:01       39 阅读
  7. 【C++设计模式 -- 单例(Singleton)模式】

    2023-12-31 23:26:01       30 阅读
  8. 2023年度总结

    2023-12-31 23:26:01       37 阅读
  9. pycharm配置pyqt5的ui文件转py文件的小工具

    2023-12-31 23:26:01       41 阅读