vcs+verdi搭建基础仿真的版本V1

2024-7-20
数字软件安装,仿真环境测试
dut重新修改
makefile重新修改
verdi整合完成

dut.v

module dut ( );
    reg clk;
    initial begin
        clk =0;
        forever begin
            #10   clk = ~clk;
        end
    end

initial begin
    $fsdbDumpfile("verilog.fsdb");
    $fsdbDumpvars();
    $vcdpluson;
    $display("fsdbDumpfilrs is start at %d",$time);
    #1e9;
    $finish;
end
endmodule

makefile

all:comp run
comp:
	vcs -full64 +v2k -sverilog   dut.v \
	-timescale=1ns/1ps \
	-P ${VERDI_HOME}/share/PLI/VCS/LINUX64/novas.tab \
	-debug_acc+all
run:
	./simv
verdi:
	verdi -full64 -sv  dut.v -ssf verilog.fsdb -nologo&
clean:
	rm -rf simv*
	rm -rf *.vpd
	rm -rf *.key
	rm -rf csrc

仿真结果

在这里插入图片描述

相关推荐

  1. vue项目---1.基础框架

    2024-07-21 22:50:04       43 阅读
  2. hbase基础

    2024-07-21 22:50:04       29 阅读
  3. kubeadm1.20.7版本k8s

    2024-07-21 22:50:04       41 阅读
  4. redhat基础环境

    2024-07-21 22:50:04       19 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-21 22:50:04       52 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-21 22:50:04       54 阅读
  3. 在Django里面运行非项目文件

    2024-07-21 22:50:04       45 阅读
  4. Python语言-面向对象

    2024-07-21 22:50:04       55 阅读

热门阅读

  1. 防范缓冲区溢出攻击的方法

    2024-07-21 22:50:04       15 阅读
  2. 【如何使用Python编程】

    2024-07-21 22:50:04       22 阅读
  3. 【Python中的列表是什么】

    2024-07-21 22:50:04       19 阅读
  4. 数学建模--灰色关联分析法

    2024-07-21 22:50:04       19 阅读
  5. 什么是 MLPerf?

    2024-07-21 22:50:04       20 阅读
  6. Docker

    2024-07-21 22:50:04       16 阅读
  7. 代码改进,模型优化,强化深度学习

    2024-07-21 22:50:04       19 阅读