Profinet远程IO模块:单通道PNP和NPN编码器模块功能和安装方法

XD系列插片式远程I/O模块是兴达易控技术研发的分布式扩展模块。XD系列成套系统主要由耦合器、各种功能I/O模块、电源辅助模块以及终端模块组成。有多种通讯协议总线的耦合器,例如PROFINET、EtherCAT、Ethernet/IP、CclinkIE以及modbus/TCP等。I/O模块可分为多通道数字量输入模块、数字量输出模块、模拟量输入模块、模拟量输出模块以及各种功能模块、通讯模块、温度模块等,客户可根据实际现场应用需求进行搭配!

XD5002是单通道PNP编码器模块。XD5003是单通道NPN编码器模块!

1、模块描述

XD5002为双通道PNP高速计数模块,XD5003为双通道NPN高速计数模块,用于对工业现场的设备(如手轮、编码器等)进行计数。

1.1、XD5002技术规格

1.1.3XD5003技术规格

2.1安装

XD系列产品使用插片式方案,模块与耦合器接线通过卡槽直接与耦合器连接,或接在其它IO模块后面按下图所示进行安装

图2-1对准模块的缺口处

图2-2将模块安装到导轨上

2.2拆卸方式

拆卸首先应拆除本模块的所有的信号电缆或电源电缆,然后按箭头方向拉卡销(下图中的黄色部件),将模块取下。

如果遇到有模块难以安装的情况,切勿使用蛮力进行安装,以免损坏当前的模块或其他模块;应当将模块从导轨上拆卸,检查模块是否存在某些异常(比如异物堵塞等),确认没有问题后,再进行插拔。

3接线说明及电源指示灯说明

高速计数模块为两通道,1、3、5为一通道,2、4、6为第二通道。左右两侧使用编码器按顺序接入A、B、Z项,需外供24V电压,(XD5003需要将负极并入到耦合器负极中)

3.1接线图

图3-1XD5002接线

图3-2XD5003接线

3.2电源指示灯说明

图3-3XD5002电源指示灯说明

图3-4XD5003电源指示灯说明

4模块功能及实现

4.1模块功能

4.1.1计数功能

计数功能是XD5002基本功能,用于对现场输入脉冲输入个数的计数等;

4.1.2Z相功能

此功能可以实现计数过程中,计数值自动清零;

4.1.3初始值功能

此功能可以设置计数初始值,可以实现计数值从初始值计数;

4.1.4计数方向功能

此功能可以实现XD5002双向计数;0表示计数方向与实际旋转方向相同,1表示计数方向与实际旋转方向相反

4.1.5锁存功能

此功能可以实现计数过程中,锁存当前计数值;

4.2参数描述

4.2.1配置参数

注:参数设置需要在模块运行前配置,如使用TwinCAT则在Startup中设置;在OMROM软件中需要在模块的编辑初始化参数设置中找到对应的模块并设置;如不需设置则采用默认值,不需操作即可;

4.2.2过程数据

其中下行数据(数字量和模拟量)需要用户根据现场使用场景设置,上行数据为模块运行反馈的数据

4.3模块操作

4.3.1计数功能

置XD5002下行数字量EnableChannel位,实现XD5002计数功能,此时可采集现场输入脉冲,计数值在上行模拟量CountValueOfChannel位反馈;

4.3.2Z相功能

先置XD5002程数据中的下行数字量EnableChannel位,实现XD5002计数功能,然后设置下行数字量EnableZPhaseOfChannel位打开Z相清零功能;

4.3.3初始值功能

先置下行模拟量InitialCountValueOfChannel位用户初始值(0-4294967295),再设置下行数字量EnableSetInitvalueOfChannel置1(从0到1)打开初始值功能,最后在下行数字量EnableChannel再通道使能置1(从0到1)

例如:设置

InitialCountValueOfChannel1=1000;

EnableSetInitvalueOfChannel1=1;

EnableChannel1=1,

CountValueOfChannel1计数值变为1000,并从1000开始计数;

4.3.4计数方向功能

先置XD5002程数据中的下行数字量EnableChannel位,实现XD5002计数功能,然后设置下行数字量CountDirectionOfChannel位,其中0表示计数方向与实际旋转方向相同,1表示计数方向与实际旋转方向相反;

4.3.5锁存功能

先置XD5002程数据中的下行数字量EnableChannel位,实现XD5002计数功能,然后设置下行数字量EnableLatchOfChannel位,连接+24V与XD5002的锁存通道,实现锁存功能

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-20 11:36:02       52 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-20 11:36:02       54 阅读
  3. 在Django里面运行非项目文件

    2024-07-20 11:36:02       45 阅读
  4. Python语言-面向对象

    2024-07-20 11:36:02       55 阅读

热门阅读

  1. abc362(abcde)

    2024-07-20 11:36:02       16 阅读
  2. [jieba_fast][python]jieba_fast所有whl文件下载地址汇总

    2024-07-20 11:36:02       18 阅读
  3. 【Android】本地化的实现

    2024-07-20 11:36:02       16 阅读
  4. 刷题Day57|107. 寻找存在的路径

    2024-07-20 11:36:02       15 阅读
  5. PEFT的几种方式

    2024-07-20 11:36:02       15 阅读
  6. springSecurity学习之springSecurity过滤web请求

    2024-07-20 11:36:02       21 阅读