SpinalHDL之实用工具(上篇)

本文作为SpinalHDL学习笔记第十三篇,记录使用SpinalHDL的一些实用性语法工具。

SpinalHDL学习笔记总纲链接如下:

SpinalHDL 学习笔记_spinalhdl blackbox-CSDN博客

SpinalHDL的核心定义了许多功能性语法:

• 类型/字面量

• 寄存器/时钟域

• 组件/逻辑区

• 随机访问/只读存储器

• When / Switch / Mux

• BlackBox(在 Spinal 内部集成 VHDL 或 Verilog IP)

• SpinalHDL 到 VHDL 的转换器

然后,通过使用这些功能可以定义数字硬件,并构建强大的库和抽象。这也是 SpinalHDL 相对于其他常用 HDL 的主要优势之一,因为无需了解编译器内部原理即可扩展该语言。

一个很好的例子是SpinalHDL lib,它添加了许多实用程序、工具、总线和方法。

许多工具和实用程序都存在于spinal.lib 中,但有些工具和实用程序已经存在于 SpinalHDL Core 中。

语法

返回类型

描述

widthOf(x : BitVector)

Int

返回 Bits/UInt/SInt 信号的位宽

log2Up(x : BigInt)

Int

返回表示 x 状态所需的位数

isPow2(x:BigInt)

Boolean

如果 x 是 2 的幂,则返回 true

roundUp(that : BigInt, by: BigInt)

BigInt

返回第一个 by 乘以“that“(包含)的值

Cat(x: Data*)

连接所有参数,从 MSB 到 LSB,请参阅Cat

Cat(x: Iterable[Data])

连接参数, 从 LSB 到 MSB, 参见Cat

目录:

1.Cat

2.克隆硬件数据类型

3.将数据类型作为构造函数参数传递

4.频率和时间

5.二进制前缀

Cat

如上所述, Cat 有两个版本。两个版本都连接了它们包含的信号,但有细微的差别:

• Cat(x: Data*) 使用任意数量的硬件信号作为参数。它模拟了其他 HDL 且 MSB 变成了结果Bits 最左端的参数,最右端是 LSB . 换种说法:输入按照参数顺序拼接.

• Cat(x: Iterable[Data]) 接受包含硬件信号的单个 Scala 可迭代集合(Seq / Set / List / …)。此版本将列表的第一个元素放入 LSB,最后一个元素放入 MSB。

差异主要在于这样的约定: Bits 是从最高索引到最低索引写入的,而列表是从索引 0 开始写入到最高索引的。所有约定中, Cat 将索引 0 放置在 LSB 处。

val bit0, bit1, bit2 = Bool()
val first = Cat(bit2, bit1, bit0)
// is equivalent to
val signals = List(bit0, bit1, bit2)
val second = Cat(signals)

2.克隆硬件数据类型

你可以使用 cloneOf(x) 函数克隆给定的硬件数据类型。它将返回相同 Scala 类型和参数的新实例。

例如:

def plusOne(value : UInt) : UInt = {
// Will provide new instance of a UInt with the same width as ``value``
val temp = cloneOf(value)
temp := value + 1
return temp
}
// treePlusOne will become a 8 bits value
val treePlusOne = plusOne(U(3, 8 bits))

Note:如果你在 Bundle 上使用 cloneOf 函数,这个 Bundle 应该是一个 case class ,否则应该在内部重写 clone 函数。

// An example of a regular 'class' with 'override def clone()' function
class MyBundle(ppp : Int) extends Bundle {
val a = UInt(ppp bits)
override def clone = new MyBundle(ppp)
}
val x = new MyBundle(3)
val typeDef = HardType(new MyBundle(3))
val y = typeDef()
cloneOf(x) // Need clone method, else it errors
cloneOf(y) // Is ok

3.将数据类型作为构造函数参数传递

许多可重用硬件需要通过数据类型进行参数化。例如,如果想定义 FIFO 或移位寄存器,则需要一个参数来指定组件所需的有效负载类型。

有两种类似的方法可以做到这一点。

老办法

老方法的一个很好的例子是 ShiftRegister 组件的定义:

case class ShiftRegister[T <: Data](dataType: T, depth: Int) extends Component {
val io = new Bundle {
val input = in (cloneOf(dataType))
val output = out(cloneOf(dataType))
}
// ...
}

以下是实例化该组件的方法:

val shiftReg = ShiftRegister(Bits(32 bits), depth = 8)

如你所见,原始硬件类型直接作为构造参数传递。每次你想创建这种硬件数据类型的新实例时,你需要使用 cloneOf(...) 函数。以这种方式做事并不是超级安全,因为很容易忘记使用 cloneOf。

安全的方法

安全的传递数据类型参数方法,示例如下:

case class ShiftRegister[T <: Data](dataType: HardType[T], depth: Int) extends Component {
val io = new Bundle {
val input = in (dataType())
val output = out(dataType())
}
// ...
}

以下是实例化组件的方法(与之前完全相同):

val shiftReg = ShiftRegister(Bits(32 bits), depth = 8)

请注意,上述示例中使用了一个 HardType 包装器,它包装了原始数据类型 T,这种做法比“旧方法”更容易使用。因为要创建硬件数据类型的新实例,只需调用 HardType 的 apply 函数(或者换句话说,在类型名后添加括号)。此外,从用户的角度来看,这种机制是完全透明的,因为硬件数据类型可以隐式转换为 HardType。

4.频率和时间

SpinalHDL 有专用语法来定义频率和时间值:

val frequency = 100 MHz // infers type TimeNumber
val timeoutLimit = 3 ms // infers type HertzNumber
val period = 100 us // infers type TimeNumber
val periodCycles = frequency * period // infers type BigDecimal
val timeoutCycles = frequency * timeoutLimit // infers type BigDecimal

对于时间定义,可以使用以下后缀来获取 TimeNumber:

fs、 ps、ns、us、ms、sec、mn、hr

对于时间定义,可以使用以下后缀来获取 HertzNumber:

Hz, KHz, MHz, GHz, THz

TimeNumber 和 HertzNumber 是基于 PhysicalNumber 类,它使用 scala BigDecimal 来存储数字。

5.二进制前缀

SpinalHDL 允许根据 IEC 使用二进制前缀表示法定义整数。

val memSize = 512 MiB // infers type BigInt
val dpRamSize = 4 KiB // infers type BigInt

可以使用以下二进制前缀表示法:

二进制前缀

Byte, Bytes

1

KiB

1024 == 1 « 10

MiB

10242 == 1 « 20

GiB

10243 == 1 « 30

TiB

10244 == 1 « 40

PiB

10245 == 1 « 50

EiB

10246 == 1 « 60

ZiB

10247 == 1 « 70

YiB

10248 == 1 « 80

当然, BigInt 可以以字节为单位进行打印。例如, BigInt(1024).byteUnit.

val memSize = 512 MiB
println(memSize)
>> 536870912
println(memSize.byteUnit)
>> 512MiB
val dpRamSize = BigInt("123456789", 16)
println(dpRamSize.byteUnit())
>> 4GiB+564MiB+345KiB+905Byte

println((32.MiB + 12.KiB + 223).byteUnit())
>> 32MiB+12KiB+223Byte
println((32.MiB + 12.KiB + 223).byteUnit(ceil = true))
>> 33~MiB

相关推荐

  1. SpinalHDL实用工具

    2024-07-14 05:18:03       22 阅读
  2. SpinalHDL实用工具(下篇)

    2024-07-14 05:18:03       26 阅读
  3. SpinalHDLFlow

    2024-07-14 05:18:03       23 阅读
  4. SpinalHDL总线

    2024-07-14 05:18:03       16 阅读
  5. SpinalHDL寄存器库函数

    2024-07-14 05:18:03       27 阅读
  6. SpinalHDLVHDL 和 Verilog 生成

    2024-07-14 05:18:03       24 阅读
  7. springboot实战oss工具封装

    2024-07-14 05:18:03       52 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-07-14 05:18:03       66 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-07-14 05:18:03       70 阅读
  3. 在Django里面运行非项目文件

    2024-07-14 05:18:03       57 阅读
  4. Python语言-面向对象

    2024-07-14 05:18:03       68 阅读

热门阅读

  1. 自监督学习和对比学习举例讲解(附代码)

    2024-07-14 05:18:03       19 阅读
  2. web黑马课总结笔记(持续更新)

    2024-07-14 05:18:03       24 阅读
  3. 天童教育:要增强孩子的自理能力

    2024-07-14 05:18:03       24 阅读
  4. 测试工程师面试热门问题(六)

    2024-07-14 05:18:03       18 阅读
  5. JVM:自动垃圾回收

    2024-07-14 05:18:03       23 阅读
  6. 使用openssl生成自签名证书

    2024-07-14 05:18:03       25 阅读
  7. 【TS】如何使用联合类型和交叉类型

    2024-07-14 05:18:03       25 阅读
  8. C语言——printf、scanf、其他输入输出函数

    2024-07-14 05:18:03       26 阅读
  9. C语言实现数据结构B树

    2024-07-14 05:18:03       26 阅读