【YOLOv9改进[Conv]】使用YOLOv10的空间通道解耦下采样SCDown模块替换部分CONv的实践 + 含全部代码和详细修改内容

本文将使用YOLOv10的空间通道解耦下采样SCDown模块替换部分CONv的实践 ,文中含全部代码和详细修改内容。

目录

一 YOLOv10

1 空间通道解耦下采样

2 可视化

二 空间通道解耦下采样SCDown模块替换部分CONv的实践

1 整体修改

① 添加yolov10_modules.py文件

② 修改yolov9/models/yolo.py文件

2 配置文件

3 训练

其他


一 YOLOv10

官方论文地址https://arxiv.org/pdf/2405.14458

首先,先看看YOLOv10的性能,如下图所示:

        在过去的几年里,由于在计算成本和检测性能之间取得了有效的平衡,YOLOs已经成为实时目标检测领域的主导范式。研究人员已经对yolo的架构设计、优化目标、数据增强策略等进行了探索ÿ

相关推荐

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-06-08 00:46:03       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-06-08 00:46:03       100 阅读
  3. 在Django里面运行非项目文件

    2024-06-08 00:46:03       82 阅读
  4. Python语言-面向对象

    2024-06-08 00:46:03       91 阅读

热门阅读

  1. 正则表达式详解

    2024-06-08 00:46:03       26 阅读
  2. 【bug】在 Windows 上安装 SDKMAN! 的完整指南

    2024-06-08 00:46:03       30 阅读
  3. oracle dataguard 从库 MRP 进程的状态是 WAIT_FOR_GAP

    2024-06-08 00:46:03       31 阅读
  4. 如何评价GPT-4o?

    2024-06-08 00:46:03       27 阅读
  5. CEF编译打包(支持MP4播放,windows-x64版本)

    2024-06-08 00:46:03       22 阅读
  6. WebSocket和HTTP协议对比

    2024-06-08 00:46:03       30 阅读
  7. 【Git】(七)git push用法

    2024-06-08 00:46:03       26 阅读
  8. 中子介程三

    2024-06-08 00:46:03       28 阅读
  9. 智密腾讯云直播组建--客户端API简介

    2024-06-08 00:46:03       21 阅读
  10. 常见的api:Runtime Object

    2024-06-08 00:46:03       29 阅读
  11. MySQL查看和修改时区

    2024-06-08 00:46:03       26 阅读