HDLbits 刷题 -- Kmap1

mplement the circuit described by the Karnaugh map below.

Try to simplify the k-map before coding it. Try both product-of-sums and sum-of-products forms. We can't check whether you have the optimal simplification of the k-map. But we can check if your reduction is equivalent, and we can check whether you can translate a k-map into a circuit.

译:实现下面卡诺图描述的电路。

在编码之前尝试简化Karnaugh图。尝试使用“乘积求和”和“和积求和”形式。我们无法检查您是否对Karnaugh图进行了最佳简化。但我们可以检查您的简化是否等效,并且我们可以检查您是否能将Karnaugh图转换为电路。

module top_module(
    input a,
    input b,
    input c,
    output out  ); 
	assign out = a | b | c ;
endmodule

 运行结果:

相关推荐

  1. 笔记1

    2024-04-22 20:32:05       57 阅读
  2. 2024.6.14记录-KMP记录

    2024-04-22 20:32:05       38 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-04-22 20:32:05       94 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-04-22 20:32:05       100 阅读
  3. 在Django里面运行非项目文件

    2024-04-22 20:32:05       82 阅读
  4. Python语言-面向对象

    2024-04-22 20:32:05       91 阅读

热门阅读

  1. Unity中Socket,Tcp,Udp网络连接协议总结

    2024-04-22 20:32:05       29 阅读
  2. 浅谈薪酬绩效设计及运行的忌讳

    2024-04-22 20:32:05       38 阅读
  3. ubuntu用户与用户组管理

    2024-04-22 20:32:05       37 阅读
  4. JVM指令收集

    2024-04-22 20:32:05       26 阅读
  5. 146.LRU缓存

    2024-04-22 20:32:05       37 阅读
  6. Unity常用射线检测接口用法及优缺点

    2024-04-22 20:32:05       28 阅读
  7. 单链表接口函数的实现(增删查改)

    2024-04-22 20:32:05       39 阅读
  8. 刀片式服务器的作用有哪些?

    2024-04-22 20:32:05       38 阅读
  9. ES6

    2024-04-22 20:32:05       54 阅读