Modelsim 如何创建Altera库

以 (altera_mf)为例进行介绍

1、点击File,创建新的Libiary,弹出新建库的窗口,我们选择第三项“a new library and a logical mapping to it”。Library Name输入库名称,Library Physival Name输入库的地址,然后点击OK。

2、然后点击Project栏,

右键空白处,会弹出

3、点击Existing File,然后找到 altera_mf 库的地址

“E:\ Quartus_13_0_231030\quartus\eda\sim_lib

找到想要添加的IP的.V代码,点击打开。

4添加进来之后选择

5、然后选择第一步新建的Library,点击OK

6、然后点击Complie,再去Libiary看,就可以发现编译成功了

 

相关推荐

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-03-13 14:28:03       98 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-03-13 14:28:03       106 阅读
  3. 在Django里面运行非项目文件

    2024-03-13 14:28:03       87 阅读
  4. Python语言-面向对象

    2024-03-13 14:28:03       96 阅读

热门阅读

  1. VC下显示位图的几种方法

    2024-03-13 14:28:03       30 阅读
  2. C语言代码 判断输入的字符是不是字母

    2024-03-13 14:28:03       40 阅读
  3. c#检查两个时间段是否重叠

    2024-03-13 14:28:03       46 阅读
  4. .NET CORE Aws S3 使用

    2024-03-13 14:28:03       36 阅读
  5. 【R3F】11.模型加载

    2024-03-13 14:28:03       41 阅读
  6. 修改ubuntu的子网掩码

    2024-03-13 14:28:03       43 阅读
  7. 神经网络中的先验知识

    2024-03-13 14:28:03       44 阅读
  8. 什么是网站服务器?

    2024-03-13 14:28:03       45 阅读
  9. LeetCode 面试题08.04.幂集

    2024-03-13 14:28:03       40 阅读
  10. Redis 哈希数据结构详解及哈希命令

    2024-03-13 14:28:03       37 阅读
  11. Docker

    Docker

    2024-03-13 14:28:03      38 阅读
  12. 资讯头条Extra&项目DEBUG

    2024-03-13 14:28:03       37 阅读