【xilinx primitives 】02 OBUFDS and OBUFTDS

参考源:
<UG953 Vivado Design Suite 7 Series FPGA and Zynq-7000 SoC Libraries Guide>
< UG471 7 Series FPGAs SelectIO Resources User Guide >

OBUFDS在这里插入图片描述

该设计元件是单输出缓冲器,支持低电压、差分信号。
OBUFDS隔离内部电路,并为离开芯片的信号提供驱动电流。
它的输出表示为两个不同的端口(O 和 OB),
一个认为是“主端口”,另一个是“从端口”。
主端口和从端口是同一逻辑信号(例如,MYNET 和 MYNETB)的相反相位。

真值表

在这里插入图片描述

例化

VHDL

Library UNISIM;
use UNISIM.vcomponents.all;
-- OBUFDS: Differential Output Buffer
--         7 Series
-- Xilinx HDL Language Template, version 2022.2
OBUFDS_inst : OBUFDS
generic map (
   IOSTANDARD => "DEFAULT", -- Specify the output I/O standard
   SLEW => "SLOW")          -- Specify the output slew rate
port map (
   O => O,     -- Diff_p output (connect directly to top-level port)
   OB => OB,   -- Diff_n output (connect directly to top-level port)
   I => I      -- Buffer input
);
-- End of OBUFDS_inst instantiation

Verilog

// OBUFDS: Differential Output Buffer
//         7 Series
// Xilinx HDL Language Template, version 2022.2
OBUFDS #(
   .IOSTANDARD("DEFAULT"), // Specify the output I/O standard
   .SLEW("SLOW")           // Specify the output slew rate
) OBUFDS_inst (
   .O(O),     // Diff_p output (connect directly to top-level port)
   .OB(OB),   // Diff_n output (connect directly to top-level port)
   .I(I)      // Buffer input
);
// End of OBUFDS_inst instantiation

OBUFTDS在这里插入图片描述

增加了3态门控制;

真值表

在这里插入图片描述

例化

VHDL

Library UNISIM;
use UNISIM.vcomponents.all;
-- OBUFTDS: Differential 3-state Output Buffer
--          7 Series
-- Xilinx HDL Language Template, version 2022.2
OBUFTDS_inst : OBUFTDS
generic map (
   IOSTANDARD => "DEFAULT")
port map (
   O => O,     -- Diff_p output (connect directly to top-level port)
   OB => OB,   -- Diff_n output (connect directly to top-level port)
   I => I,     -- Buffer input
   T => T      -- 3-state enable input
);
-- End of OBUFTDS_inst instantiation

Verilog

// OBUFTDS: Differential 3-state Output Buffer
//          7 Series
// Xilinx HDL Language Template, version 2022.2
OBUFTDS #(
   .IOSTANDARD("DEFAULT"), // Specify the output I/O standard
   .SLEW("SLOW")           // Specify the output slew rate
) OBUFTDS_inst (
   .O(O),     // Diff_p output (connect directly to top-level port)
   .OB(OB),   // Diff_n output (connect directly to top-level port)
   .I(I),     // Buffer input
   .T(T)      // 3-state enable input
);
// End of OBUFTDS_inst instantiation

相关推荐

  1. <span style='color:red;'>01</span>-<span style='color:red;'>02</span>

    01-02

    2024-02-01 10:52:02      55 阅读
  2. <span style='color:red;'>02</span>.<span style='color:red;'>05</span>

    02.05

    2024-02-01 10:52:02      59 阅读
  3. 02-02

    2024-02-01 10:52:02       44 阅读
  4. 01_02_mysql02_DDL

    2024-02-01 10:52:02       66 阅读
  5. 【2024.01.02】刷算法07

    2024-02-01 10:52:02       58 阅读
  6. 2024-02-01(Hive)

    2024-02-01 10:52:02       57 阅读
  7. 2024-02-04(hive)

    2024-02-01 10:52:02       45 阅读
  8. 2024-02-05

    2024-02-01 10:52:02       53 阅读
  9. 2024.02.05

    2024-02-01 10:52:02       50 阅读

最近更新

  1. docker php8.1+nginx base 镜像 dockerfile 配置

    2024-02-01 10:52:02       98 阅读
  2. Could not load dynamic library ‘cudart64_100.dll‘

    2024-02-01 10:52:02       106 阅读
  3. 在Django里面运行非项目文件

    2024-02-01 10:52:02       87 阅读
  4. Python语言-面向对象

    2024-02-01 10:52:02       96 阅读

热门阅读

  1. dubbo rpc序列化

    2024-02-01 10:52:02       52 阅读
  2. js动画形式

    2024-02-01 10:52:02       61 阅读
  3. c++二叉树

    2024-02-01 10:52:02       60 阅读
  4. C++ 预处理器

    2024-02-01 10:52:02       45 阅读
  5. 如何降低视频RTSP解码延迟

    2024-02-01 10:52:02       59 阅读